JP4732646B2 - 半導体処理用のガス分配装置 - Google Patents

半導体処理用のガス分配装置 Download PDF

Info

Publication number
JP4732646B2
JP4732646B2 JP2001508476A JP2001508476A JP4732646B2 JP 4732646 B2 JP4732646 B2 JP 4732646B2 JP 2001508476 A JP2001508476 A JP 2001508476A JP 2001508476 A JP2001508476 A JP 2001508476A JP 4732646 B2 JP4732646 B2 JP 4732646B2
Authority
JP
Japan
Prior art keywords
gas
baffle plate
supply source
showerhead
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001508476A
Other languages
English (en)
Other versions
JP2003504841A (ja
Inventor
ラジンダー デインドサ,
ファングリ ハオ,
エリク レンズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003504841A publication Critical patent/JP2003504841A/ja
Application granted granted Critical
Publication of JP4732646B2 publication Critical patent/JP4732646B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
(発明の分野)
本発明は、集積回路ウェハなど半導体基板の処理に使用される反応チャンバに関し、具体的には、これらの反応チャンバ内で使用されるガス分配システムの改良に関する。
【0002】
(発明の背景)
半導体処理は、金属、誘電体および半導体材料の化学蒸着(CVD)など蒸着工程、これらの層のエッチング、フォトレジスト・マスキング層のアッシングなどを含む。エッチングの場合には、金属、誘電体および半導体材料をエッチングするために、プラズマ・エッチングが従来使用されている。平行板プラズマ・リアクタは一般に、1つまたは複数のバッフルを含むガス・チャンバ、エッチング・ガスが通過するシャワーヘッド電極、下部電極上でシリコン・ウェハを支持する架台、RF電源、ガスをガス・チャンバに供給するためのガス注入源を含む。ガスは電極によってイオン化されてプラズマを形成し、このプラズマが、シャワーヘッド電極の下に支持されているウェハをエッチングする。
【0003】
半導体基板をプラズマ処理するためのシャワーヘッド電極は、本願譲受人に譲渡された米国特許第5,074,456号、第5,472,565号、第5,534,751号、第5,569,356号で開示されている。他のシャワーヘッド電極ガス分配システムは、米国特許第4,209,357号、第4,263,088号、第4,270,999号、第4,297,162号、第4,534,816号、第4,579,618号、第4,590,042号、第4,593,540号、第4,612,077号、第4,780,169号、第4,854,263号、第5,006,220号、第5,134,965号、第5,494,713号、第5,529,657号、第5,593,540号、第5,595,627号、第5,614,055号、第5,716,485号、第5,746,875号、第5,888,907号で開示されている。
【0004】
集積回路作製時の共通要件は、誘電体材料内のコンタクトおよびビアなど開口部のエッチングである。誘電体材料は、フッ化酸化シリコン(FSG)などのドープ酸化シリコン、二酸化シリコンなどのアンドープ酸化シリコン、ホウ素燐ケイ酸ガラス(BPSG)および燐ケイ酸ガラス(PSG)などのケイ酸塩ガラス、ドープまたはアンドープの熱成長酸化シリコン、ドープまたはアンドープのTEOS蒸着酸化シリコンなどを含む。誘電体ドーパントは、ホウ素、燐、および/またはヒ素を含む。誘電体は、多結晶シリコンなどの導電性または半導体層、アルミニウム、銅、チタン、タングステン、モリブデンまたはそれらの合金などの金属、窒化チタンなどの窒化物、チタンシリサイド、コバルトシリサイド、タングステンシリサイド、モリブデンシリサイドなどの金属シリサイドなどの上に重ねることができる。酸化シリコン内で開口部をエッチングするために平行板プラズマ・リアクタを使用するプラズマ・エッチング技法は、米国特許第5,013,398号に開示されている。
【0005】
米国特許第5,736,457号は、シングルおよびデュアル「ダマシン」金属化工程を説明している。「シングル・ダマシン」手法では、導体またはビアの金属化パターンを誘電体層内にエッチングし、誘電体層内でエッチングした溝またはビア・ホール内に金属層を充填し、余分な金属を化学的機械的平坦化法(CMP)またはエッチ・バック工程によって除去するという別々のステップでビアおよび導体を形成する。「デュアル・ダマシン」手法では、ビアおよび導体用の金属化パターンを誘電体層内でエッチングし、エッチングした溝およびビア開口部を単一の金属充填および余剰金属除去工程中に金属で充填する。
【0006】
ウェハの表面全体にわたって均一なエッチング・レートを得るために、プラズマをウェハの表面の上に均等に分配することが望ましい。現行のガス分配チャンバの設計は、ウェハ部で所望のエッチング効果を達成するためエッチング・ガスを均一に分配するように最適化された複数のバッフルを含む。しかし、現行のバッフルおよびシャワーヘッド電極の設計は、ウェハとシャワーヘッド電極の間の特定の隙間に対して均一にガスを分配するために経験に基づいて最適化するのに最も適しており、ウェハとシャワーヘッドの間で変わる隙間に合わせて調整するのは困難である。さらに、従来のガス分配設計には、数百個の開口部を有する、またはシャワーヘッド電極の裏側へのエッチング・ガスの均等な分配を確保するには複雑で製造困難な幾何形状を有するバッフルも含まれる。大型の12インチ(300mm)ウェハをエッチングする場合には、プロセス・ガスを制御してシャワーヘッド全体にわたる均一な圧力分布を生み出すことがさらに困難である。開口部とバッフルの数を著しく増やしてエッチング・ガスの均一な分配を維持しなければならない。バッフル内の開口部の数が増加するにつれて、またバッフルの数が増加するにつれて、このようなガス分配装置を製造するための複雑さとコストが大幅に増加する。
【0007】
(発明の概要)
本発明は、必要とされるバッフルプレートが少ない一方、シャワーヘッドを介して送達される所望のガス分配をなおも達成する、製造容易な設計のガス分配システムを提供する。ガス流は、任意のサイズの基板、および/またはシャワーヘッドと処理する半導体基板の間の隙間に合わせて最適化できる。さらに、本発明はシャワーヘッド電極から冷却サポート板への熱伝導をも改善でき、それによって電極表面全体にわたる良好な温度均一性を生み出す。さらに、本発明は、シャワーヘッド電極ガス分配システムの構成要素の間で概ね連続した電気接触を提供できる。
【0008】
本発明によるガス分配装置は、ガス分配チャンバを画定するように固定されたサポート板およびシャワーヘッドを含む。チャンバは、シャワーヘッド全体にわたって所望の圧力分布を達成するために使用できる1つまたは複数のバッフルプレートを含めたバッフル・アセンブリを含む。複数のガス供給源は、プロセス・ガスをガス分配チャンバ内に供給し、ここでプロセス・ガスは、バッフル・アセンブリを介して、かつシャワーヘッドを介して下方に流通する。
【0009】
本発明の第1実施形態は、上部バッフルプレートを有するバッフル・アセンブリを含む。Oリングなどのシール部材は、上部バッフルプレートとサポート板の間の中間位置にある。シール部材は、それを間にして空間を内側領域と外側領域に分割する。第1ガス供給源からのガスは、内側領域にガスを向けて送り、第2ガス供給源からのガスは、外側領域にガスを向けて送る。この構成は、様々なガス化学的性質および/またはガス圧力を内側領域および外側領域に提供することを可能にする。その結果、基板全体にわたるガス化学的性質および/またはガス圧力の良好な制御は、プロセス・パラメータを事前選択することによって、あるいはそのようなプロセス・パラメータを基板の処理中に調整することによって達成できる。
【0010】
望むなら、中間部および/または下部バッフルプレートを構成して3つのプレナムを画定できる。第1プレナムは、上部バッフルプレートと中間部バッフルプレートの間に位置する。第2プレナムは中間部バッフルプレートと下部バッフルプレートの間に位置し、第3プレナムは下部バッフルプレートとシャワーヘッドの間に位置する。プレナムは、シャワーヘッド全体にわたってより均一なプロセス・ガス圧力分布を生み出すために使用できる。
【0011】
本発明の第2実施形態では、サポート部材がその下側に凹部を含み、これがガス分配チャンバを画定する。サポート部材は、第1プロセス・ガスを凹部チャンバの中央区域内に供給する第1ガス出口と、第2プロセス・ガスを凹部の周辺区域内に供給する第2ガス出口を有する。バッフル・チャンバ内には、上部バッフルプレートおよび下部バッフルプレートが固定されている。上部バッフルプレートは、専ら第1ガス供給源からガスを受け入れるように構成され、下部バッフルプレートは、専ら第2ガス供給源からガスを受け入れるように構成される。上部バッフルプレート内ガス通路の第1組が第2バッフルプレート内ガス通路と流体接続して1組の流動接続通路を生み出し、そこを介して第1プロセス・ガスが上部バッフルプレートから下部バッフルプレートの下面に直接通過する。第2プロセス・ガスは、下部バッフルプレート内ガス通路の第2組を介して、シャワーヘッドの裏側に隣接するそれ自体の下面に流通する。この構成では、第1プロセス・ガスが、下部バッフルの下面に流れる前に第2プロセス・ガスと実質的に混合しない。下部バッフルとシャワーヘッドの間の空間は、離間された環状チャネルを有することができ、これによりシャワーヘッドを介して通過するガスを選択的に制御して、たとえばシャワーヘッド全体にわたって均一または不均一なガス化学的性質および/または圧力を達成することができる。第1ガス供給源と第2ガス供給源どちらからのガスもシャワーヘッド内開口部の第3組を介して基板全体にわたる領域に流通する。
【0012】
本発明の目的および利点は、以下の詳細な説明を図面と併せ読めば理解できよう。
【0013】
(好ましい実施形態の詳細な説明)
本発明をよりよく理解するため、以下の詳細な説明では添付の図面を参照し、本発明の好ましい例示的実施形態が図示され、述べられている。さらに、図面内の類似の要素を識別するために使用されている参照番号は全体を通じて同じである。
【0014】
本発明によれば、プロセス・ガスは、1つまたは複数のガス供給源から、シャワーヘッドの下に配置された基板に均一に分配できる。シャワーヘッドは、半導体基板の上にプロセス・ガスを分配することが望ましいどのようなタイプの半導体処理装置内でも使用できる。このような装置は、CVDシステム、アッシャ、容量結合プラズマ・リアクタ、誘導結合プラズマ・リアクタ、ECRリアクタなどを含む。
【0015】
平行板プラズマ・リアクタ用のガス分配システムを図1に示し、サポート板20とシャワーヘッド22が、密閉ガス分配チャンバ24を画定するように固定されている。1つまたは複数のバッフルプレートを含むバッフル・アセンブリ26が、サポート板20とシャワーヘッド22の間に位置する。本発明によれば、バッフル・アセンブリ26の幾何形状および構成は、シャワーヘッド22の裏側28にガスを均一に供給するように構成する。化学蒸着工程またはドライエッチ・プラズマ工程など半導体ウェハ工程では、これらの工程の一貫性および歩留りを高めるために、基板全体にわたってプロセス・ガスを制御して分配することが望ましい。
【0016】
図2および図3でわかるように、本発明の第1実施形態では、バッフル・アセンブリ26がバッフルプレート30Aと、任意選択のバッフルプレート30Bおよび30Cとを含む。バッフルプレート30A〜30Cは、シャワーヘッド22の上向きに突出した周辺側部34によって画定された凹部32内に配置される。上部バッフルプレート30Aは、Oリング38によってサポート板20の底面36から離間されている。Oリング38は、上部バッフルプレート30Aとサポート板20の間の空間を2つの領域に分割し、それぞれが異なるガス化学的性質、圧力、および/または流量を有するプロセス・ガスを供給できる。第1ガス供給源40からのガスは、上部バッフルプレート30Aとサポート板20の間の中央領域42に流入する。第2ガス供給源44からのガスは、環状チャネル44aに流入し、次いで上部バッフルプレート30Aとサポート板20の間の周辺領域46に入る。中間部板および下部板30B、30Cは、上部バッフルプレート30Aの下に配列して、その間で開放プレナム48A、48Bを、また下部バッフルプレート30Cとシャワーヘッド22の間で開放プレナム48Cを画定できる。
【0017】
各ガス供給源は、上部バッフルプレート30Aの表面全体にわたる圧力分布を生み出し、このガス圧力はガス供給源出口付近で最も高く、出口から離れる方向で低くなる。したがって、上部バッフルプレート30Aの上面の周辺領域46と中央領域42の間の相対ガス圧力は、第1および第2ガス供給源40、44に接続される第1および第2マス・フロー・コントローラ50A、50Bを使用して調整できる。マス・フロー・コントローラ50A、50Bにはそれぞれ、ガス供給源50C、50D、50E、50Fなどから供給される2つ以上のガスの流量を調整することによって所望の混合ガスを供給できる。
【0018】
プロセス・ガスは上部バッフルプレート30Aとサポート板20の間の中央領域42および周辺領域46全体にわたって分配され、上部バッフルプレート30A内の開口部52Aを通過して上部および中央バッフルプレート30A、30Bの間の開放プレナム48Aに入る。その後、ガスは中央バッフルプレート30B内の開口部52Bを下に向かって流通し、中央および下部バッフルプレート30B、30Cの間の開放プレナム48Bに入り、次いで下部バッフルプレート30C内の開口部52Cを介して下部バッフルプレート30Cとシャワーヘッド22の間の開放プレナム48Cに入り、最後にシャワーヘッド22内の開口部54を介して基板に達する。ガスが開放プレナムに入るたびに、不均一な圧力が高圧の区域から低圧の区域へと幾分均等化されるにつれて不均一な圧力分布が弱まる。したがって、バッフルプレート30間で複数のプレナム48を画定するようにガス分配システムを構成することによって、シャワーヘッド22の裏側28部で実質的に均一な圧力分布が達成できる。
【0019】
ガス分配システムの第2実施形態を図4〜6に示す。第2実施形態のバッフル・アセンブリは、2枚のバッフルプレート56A、56Bを含む。上部バッフルプレート56Aはサポート板20と接触する部分を含み、下部バッフルプレート56Bはシャワーヘッド22と接触する部分を含む。サポート板20とバッフル・アセンブリ26とシャワーヘッド22の間の表面と表面の接触は、どちらもシャワーヘッド22とバッフル・アセンブリ26とサポート板20の間の熱伝導を促進し、シャワーヘッドを上部電極として使用する場合は、シャワーヘッド22とバッフル・アセンブリ26とサポート板20の間で電気的な導電性経路を提供することができる。
【0020】
処理中は、温度制御されたサポート板20がヒート・シンクとして働き、シャワーヘッド22からバッフル・アセンブリ26を介して熱を引き出す。たとえば、サポート板20内の冷却チャネル58を介して冷却剤を循環させて、基板の処理中に発生する熱を放散させることができる。
【0021】
第2実施形態では、第1ガス供給源60を、上部バッフルプレート56A内の中央凹部62にガスを供給するように構成する。第2ガス供給源64はガスを環状マニフォルド66に供給し、これが下部バッフルプレート56Bより上の周辺領域68にガスを分配する。マニフォルド66は、サポート板20と一体にすることもでき、またはガス分配システムの別個の構成要素を含むこともできる。
【0022】
上部バッフルプレート56Aは放射状に延びるチャネル70を含み、これが概ね中央に位置する第1ガス供給源60から上部バッフルプレート56Aの周辺部にガスを分配する。チャネル70は、サポート板20の下面36と接触する接触表面72間に画定される。熱および電流は、上部バッフルプレート56Aから表面72を介してサポート板20に流れる。同様に、下部バッフルプレート56Bの上面は放射状に延びるチャネル74を含み、これが周辺に位置するマニフォルド66から下部バッフルプレート56Bの中央部内の環状チャネル76にガスを分配する。放射状に延びるチャネル74は、上部バッフルプレート56Aと熱的かつ電気的に接触する接触表面78間に画定される。図ではチャネル70、74、76が上部および下部バッフルの上面内にあるが、サポート板20および上部バッフルプレートの下面内に形成することもできる。
【0023】
上部バッフルプレート内の放射状に延びるチャネル70内に位置する開口部80は、下部バッフルプレート56B内開口部82の第1組に流動接続する。つまり、上部バッフルプレート56A内開口部80および下部バッフルプレート56B内開口部82の第1組は、第1ガス供給源60から上部および下部バッフルプレート56A、56Bを介して概ね連続する、途切れのない流体経路を画定する。第2ガス供給源64からのガスは、下部バッフルプレート56B内のチャネル74内開口部84の第2組を介して流通する。流動接続された開口部80、82と開口部84の第2組は、第1ガス供給源60からのガスと第2ガス供給源64からのガスの間での著しい混合を防止するように構成する。このような構成では、一部のガスが上部バッフルプレートと下部バッフルプレートの間で移動できる。このような移動を防止するためには、上部および下部バッフルプレートは、2つのガスが混合しないような形で接着またはメタラジによって結合できよう。
【0024】
好ましくは、流動接続された開口部80、82は、位置決めピンなどの対合位置合せ機能など適切な技法によって、上部バッフルプレート内開口部80を下部バッフルプレート内開口部82の第1組に位置合せすることによって作り出す。しかし、開口部80を開口部82に接続するための他の手法は、上部バッフルと下部バッフルの間にパターン付きガスケットを挿入すること、または上部および下部バッフル内の開口部間に結合された個別の管を設けることを含む。
【0025】
下部バッフルプレート56Bの底面は、下向きに突出した環状壁部分86を含み、これがシャワーヘッド22の上面に熱的かつ電気的に接触する。流動接続された開口部80、82と、開口部84の第2組はどちらも、下向きに突出した壁部分86によって画定され、放射状に離間された環状チャネル88に通じる。チャネル88は、シャワーヘッドの上面内に形成でき、または下部バッフルプレートとシャワーヘッドの間の空間は、その間にシャワーヘッドからの熱を伝導するための、かつ/または電力をシャワーヘッドに供給するための接触部分を有する、または有さない開放プレナムとすることができる。
【0026】
半導体処理中は、第1ガス供給源60からのガスが、上部バッフルプレート56Aおよび下部バッフルプレート56B内の流動接続された開口部80、82を流通し、第2ガス供給源64からのガスが、下部バッフルプレート56B内の開口部84の第2組を流通する。第1および第2ガス供給源60、64両方からのガスが、シャワーヘッド22の上面より上にある下部バッフルプレートの下面内のチャネル88内で混合され、シャワーヘッド22内の開口部90の第3組を流通して基板に向かう。
【0027】
上部バッフルプレート56A全体にわたり、ガス圧力は中央に位置する第1ガス供給源60付近で最も高く、上部バッフルプレート56Aの周辺部付近で最も低い。プロセス・ガスは上部および下部バッフルプレート56A、56B内の流動接続された開口部82、84を下に向かって通り、下部バッフルプレート56Bの下面内の開放チャネル88に流通する。動作中は、第1および第2ガス供給源が同じ圧力でガスを供給した場合、第1ガス供給源60からのガスは、圧力が下部バッフルプレート56Bの中央に隣接して高く、下部バッフルプレート56Bの周辺部で低い圧力分布をもたらす一方、第2ガス供給源64からのガスは、圧力が下部バッフルの周辺部で高く中央で低い圧力分布をもたらす。その結果、本発明のバッフル構成によって、シャワーヘッドの裏側で見られる圧力を、シャワーヘッドの裏側全体にわたってより均一にすることができる。
【0028】
代替の処理方式では、ガス分配システムが、シャワーヘッド22の裏側28全体にわたって制御された、不均一なガス圧力分布を提供できる。たとえば、シャワーヘッド22の裏側28の周辺部で高いガス圧力が望ましい場合は、第2ガス供給源64を介した流れを、第1ガス供給源60を介した流れに対して選択的に増やすことができる。逆にシャワーヘッド22の裏側28の中央付近で比較的高いガス圧力が望ましい場合は、第1ガス供給源60を介した流れを、第2ガス供給源64を介した流れに対して増やすことができる。したがって、枚葉ウェハ処理の場合は、ガス分配システムが、ウェハより上にある1つまたは複数の環状ゾーンに様々なガス化学的性質を供給できる。ガス化学的性質、流量、圧力は、このような各環状ゾーン周りに円周的に均一にできる一方、ゾーンからゾーンにかけて放射状に変えることができるため、ウェハ表面部での処理条件がウェハ全体にわたり変化するような工程中に、ウェハの均一な処理に影響を与えることが可能である。
【0029】
図7A〜Bは、本発明によって、デュアル・ダマシン構造が1回のステップでエッチングできる様子を示す略図である。図7Aは、トレンチに対応する開口部500が、酸化シリコンなどの第1誘電体層540、窒化シリコンなどの第1ストップ層560、酸化シリコンなどの第2誘電体層580、窒化シリコンなどの第2ストップ層600、シリコン・ウェハなどの基板620からなるスタックの上に重なるフォトレジスト・マスキング層520内に設けられているエッチング前状態を示す。1回のエッチングされるステップで第1ストップ層560を介してビアをエッチングするために、第1ストップ層560は開口部640を含む。図7Bはエッチング後の構造を示し、開口部500が誘電体層540を介して第1ストップ層560に延び、開口部640が第2誘電体580を介して第2ストップ層600に延びている。このような構成を「セルフアライン・デュアル・ダマシン」構造と呼ぶことができる。
【0030】
エッチング工程中は、第1および第2実施形態の第1および第2ガス供給源によって供給されるプロセス・ガス条件を互いに対して変更できる。たとえば、トレンチ500のエッチング中は、Ar、酸素およびフッ化炭化水素(たとえば、CHFおよびC)の混合体を供給でき、ビア640のエッチング中は、ウェハの中央領域への酸素の流れを減らすことができる。low−k誘電体層をエッチングする場合は、Cなどの炭化水素を含むことができ、炭化水素対酸素ガス流量比を放射状に変えて均一なエッチングを達成できる。したがって、本発明によれば、ウェハの中央および縁部へのガスの流れを調整して、プラズマ・チャンバ内で縁部の早いエッチングおよび中央の早いエッチングの状態を補償できる。たとえば、従来型プラズマ・チャンバでは、フォトレジストが腐食されるまで縁部の早いエッチング状態が起こり、その後中央の早いエッチング状態が起こりうる。本発明によるガス分配装置によって、ウェハがフォトレジスト層を有する間はより多くの酸素を中央に供給でき、フォトレジスト層が腐食除去されたとき中央への酸素の流れを減らすことができる。その結果、縁部の早いエッチング状態および中央の早いエッチング状態を補償することによって、より均一なエッチングを達成できる。
【0031】
本発明の工程は、フッ化酸化シリコン(FSG)などのドープ酸化シリコン、二酸化シリコンなどのアンドープ酸化シリコン、スピン・オン・ガラス(SOG)、ホウ素燐ケイ酸ガラス(BPSG)および燐ケイ酸ガラス(PSG)などのケイ酸塩ガラス、ドープまたはアンドープの熱成長酸化シリコン、ドープまたはアンドープのTEOS蒸着酸化シリコンなど様々な誘電体層のプラズマ・エッチングを含む様々なプラズマ工程に適用可能である。誘電体ドーパントは、ホウ素、燐、および/またはヒ素を含む。誘電体は、多結晶シリコンなどの導体または半導体層、アルミニウム、銅、チタン、タングステン、モリブデンまたはそれらの合金などの金属、窒化チタンなどの窒化物、チタンシリサイド、コバルトシリサイド、タングステンシリサイド、モリブデンシリサイドなどの金属シリサイドなどの上に重ねることができる。
【0032】
プラズマは、様々なタイプのプラズマ・リアクタ内で発生する高密度プラズマとすることができる。このようなプラズマ・リアクタは一般に、高密度プラズマを発生させるためのRFエネルギー、マイクロ波エネルギー、磁界などを使用する高エネルギー源を有する。たとえば、高密度プラズマは、誘導結合プラズマ・リアクタとも呼ばれる変圧器結合プラズマ(TCP(商標))、電子サイクロトロン共鳴(ECR)プラズマ・リアクタ、ヘリコン・プラズマ・リアクタなどの中で発生させることができよう。高密度プラズマの得られる高流量プラズマ・リアクタの例は、本願の所有者が所有する米国特許第5,820,723号で開示されており、その開示を参照により本明細書に組み込む。
【0033】
以上本発明について好ましい実施形態を参照しながら述べた。しかし、本発明の精神から逸脱することなく、上述した以外の特定形態で本発明を実施することが可能なことは、当業者には容易に明らかであろう。好ましい実施形態は例示的なものであり、決して限定的であると見なしてはならない。本発明の範囲は、前述の説明ではなく添付の特許請求の範囲に提示されており、特許請求の範囲内に該当する変形形態および均等物はすべて、その中に包含するものとする。
【図面の簡単な説明】
【図1】 本発明によるガス分配チャンバの断面図である。
【図2】 本発明の第1実施形態の分解斜視図である。
【図3】 本発明の第1実施形態の断面図である。
【図4】 本発明の第2実施形態の分解斜視図である。
【図5】 第2実施形態の断面図である。
【図6】 本発明の第2実施形態の下部バッフルプレートの斜視断面図である。
【図7A】 本発明のガス分配システムによって実施できるエッチング工程の図である。
【図7B】 本発明のガス分配システムによって実施できるエッチング工程の図である。

Claims (31)

  1. 半導体基板処理で使用する反応チャンバ用として有効なガス分配システムであって、
    その下部表面内に凹部を有し、前記凹部の中央区域に通じる第1ガス供給源開口部および前記凹部の周辺区域に通じる第2ガス供給源開口部を有するサポート部材と、
    バッフル構成であって、前記第1ガス供給源開口部からのガスが当該バッフル構成内の第1開口部を通過し、前記第2ガス供給源開口部からのガスが当該バッフル構成内の第2開口部を通過するように前記凹部内に位置するバッフル構成と、
    前記第1開口部および前記第2開口部を通過するガスが互いに混合され、シャワーヘッド内の第3開口部セットを通過するようにサポート部材によって支持されたシャワーヘッドと、を備え、
    前記バッフル構成が、バッフルプレートとシール部材とを含み、前記シール部材が、前記バッフルプレートと前記サポート部材の間の空間を中央領域と周辺領域に分離し、前記第1ガス供給源開口部が前記中央領域に通じ、前記第2ガス供給源開口部が前記周辺領域に通じることを特徴とするガス分配システム。
  2. 前記シャワーヘッドが上部電極であり、前記サポート部材がプラズマ反応チャンバの温度制御された部材であることを特徴とする請求項1に記載のガス分配システム。
  3. 前記サポート部材が、温度制御された部材に取り付けられた支持リングを備えることを特徴とする請求項1に記載のガス分配システム。
  4. 前記バッフルプレートが、上部バッフルプレートであり、前記バッフル構成が、下部バッフルプレートをさらに含み、前記第2ガス供給源開口部は、前記上部バッフルプレートと前記下部バッフルプレートの間に位置する1つまたは複数のガス流のチャネルにガスを供給し、前記第2ガス供給源開口部からのガスは、前記バッフルプレートの外側領域から前記バッフルプレートの内側領域への方向に前記チャネルを通過する流れを提供することを特徴とする請求項1に記載のガス分配システム。
  5. 前記チャネルが、前記上部バッフルプレートの下部表面内および/または前記下部バッフルプレートの上部表面内に形成されることを特徴とする請求項4に記載のガス分配システム。
  6. 前記下部バッフルプレートの上部表面が前記上部バッフルプレートの下部表面と熱接触することを特徴とする請求項5に記載のガス分配システム。
  7. 前記シャワーヘッドが電極であり、前記上部バッフルプレートおよび前記下部バッフルプレートが導電性材料からなり、前記下部バッフルプレートの上部表面が前記上部バッフルプレートの下部表面と電気接触することを特徴とする請求項5に記載のガス分配システム。
  8. 前記バッフルプレートが、上部バッフルプレートであり、前記バッフル構成が、下部バッフルプレートをさらに含み、前記第1開口部および前記第2開口部を通過するガスが、前記下部バッフルプレートと前記シャワーヘッドの間に位置するガス流チャネル内で混合されることを特徴とする請求項1に記載のガス分配システム。
  9. 前記チャネルが、前記下部バッフルプレートの下部表面内および/または前記シャワーヘッドの上部表面内に形成され、前記下部バッフルプレートの下部表面が前記シャワーヘッドの上部表面と接触することを特徴とする請求項8に記載のガス分配システム。
  10. 前記シャワーヘッドが電極であり、前記上部バッフルプレートおよび前記下部バッフルプレートが導電性材料からなり、前記下部バッフルプレートの下部表面内および/または前記シャワーヘッドの上部表面内にチャネルが形成され、前記下部バッフルプレートの下部表面が前記シャワーヘッドの上部表面と電気的かつ熱的に接触することを特徴とする請求項8に記載のガス分配システム。
  11. 前記シャワーヘッドがシャワーヘッド電極を備えることを特徴とする請求項1に記載のガス分配システム。
  12. 前記シール部材がOリングであることを特徴とする請求項に記載のガス分配システム。
  13. 前記第1ガス供給源開口部に接続された第1マス・フロー・コントローラと、前記第2ガス供給源開口部に接続された第2マス・フロー・コントローラと、前記第1および第2マス・フロー・コントローラに接続され、前記第1および第2ガス供給源開口部によって供給されるプロセス・ガスの化学的性質および/またはガス流量を調節するコントローラと、をさらに備えることを特徴とする請求項1に記載のガス分配システム。
  14. 請求項1に記載のガス分配システムを備えた反応チャンバ内で基板を処理する方法であって
    前記反応チャンバに半導体基板を供給する工程と、
    前記第1ガス供給源開口部および前記第2ガス供給源開口部にプロセス・ガスを供給し、前記プロセス・ガスが前記バッフル構成を通過するまで混合されることなく前記バッフル構成を通過し、その後混合された前記プロセス・ガスが前記シャワーヘッドを通過して前記反応チャンバの内部に入る工程と、
    前記シャワーヘッドを通過する前記プロセス・ガスで前記半導体基板を処理する工程と、
    を有することを特徴とする方法。
  15. 前記シャワーヘッドが、通過する前記プロセス・ガスを活性化してプラズマ状態にするシャワーヘッド電極であることを特徴とする請求項14に記載の方法。
  16. さらに、前記半導体基板の露出表面と接触するプラズマを前記プロセス・ガスが形成するように前記シャワーヘッド電極にRF電源を供給して、前記半導体基板上の層をエッチングすることを特徴とする請求項15に記載の方法。
  17. 前記半導体基板がシリコン・ウェハを含み、前記方法がウェハ上の材料の誘電体、半導体または導電性層をドライ・エッチングすることを特徴とする請求項14に記載の方法。
  18. 前記方法が前記半導体基板上で材料の層を蒸着することを含むことを特徴とする請求項14に記載の方法。
  19. 前記シャワーヘッドがシャワーヘッド電極を備え、前記サポート部材が温度制御された部材を備え、前記方法が前記温度制御された部材を介して冷却剤を通過させることによって、前記シャワーヘッドから熱を除去することを特徴とする請求項14に記載の方法。
  20. 前記バッフルプレートが、上部バッフルプレートであり、前記バッフル構成が、下部バッフルプレートをさらに含み、前記第2ガス供給源開口部は、前記上部バッフルプレートと前記下部バッフルプレートの間に位置する1つまたは複数のガス流のチャネルにガスを供給し、前記第2ガス供給源開口部からのガスは、前記バッフルプレートの外側領域から前記バッフルプレートの内側領域への方向に前記チャネルを通過する流れを提供することを特徴とする請求項14に記載の方法。
  21. さらに、前記シャワーヘッドの裏側全体にわたって所望のガス圧力分布が得られるように、前記第1ガス供給源開口部に供給される前記プロセス・ガスの流量および/またはガス圧力を調節すること、および前記第2ガス供給源開口部に供給されるプロセス・ガスの流量および/またはガス圧力を調節することを特徴とする請求項14に記載の方法。
  22. 前記バッフルプレートが、上部バッフルプレートであり、前記バッフル構成が、下部バッフルプレートをさらに含み、前記方法が、前記シャワーヘッド、前記下部バッフルプレート、前記上部バッフルプレートおよび/または前記サポート部材の接触表面を介して前記シャワーヘッドから熱を除去することによって前記シャワーヘッドを冷却することを特徴とする請求項14に記載の方法。
  23. 前記バッフルプレートが、上部バッフルプレートであり、前記バッフル構成が、下部バッフルプレートをさらに含み、前記第1および第2開口部を通過するガスが、前記下部バッフルプレートと前記シャワーヘッドの間に位置する半径方向に間隔をあけて配置された環状ガス流チャネル内で混合されることを特徴とする請求項14に記載の方法。
  24. 前記チャネルが前記下部バッフルプレートの下部表面内および/または前記シャワーヘッドの上部表面内に形成され、前記半導体基板の処理中に熱が前記シャワーヘッドから除去されるように前記下部バッフルプレートの下部表面が前記シャワーヘッドの上部表面と接触することを特徴とする請求項23に記載の方法。
  25. 前記第1ガス供給源開口部を流通する前記プロセス・ガスが、前記第2ガス供給源開口部を流通する前記プロセス・ガスと同じ化学的性質を有することを特徴とする請求項14に記載の方法。
  26. 前記第1ガス供給源開口部を通過する前記プロセス・ガスが、前記第2ガス供給源開口部を通過する前記プロセス・ガスと異なる化学的性質を有することを特徴とする請求項14に記載の方法。
  27. 前記基板が、少なくとも第1および第2ステップでエッチングされる半導体ウェハであり、前記第1ガス供給源開口部を通過する前記プロセス・ガスが第2ガス供給源開口部を通過するプロセス・ガスに対して調節されて、前記第1および第2ステップ中に、縁部の早いエッチング状態および中央の早いエッチング状態を補償することを特徴とする請求項14に記載の方法。
  28. 前記開口部が、前記基板の誘電体層の露出した部分を介して前記基板の導電性または半導体層へとエッチングされることを特徴とする請求項14に記載の方法。
  29. 前記エッチングされるステップが、ダマシン構造を製造する工程の一部として実施されることを特徴とする請求項28に記載の方法。
  30. 前記半導体基板がフォトレジスト・マスキング層、第1誘電体層、第1ストップ層、第2誘電体層、第2ストップ層の層を含み、前記エッチングされるステップは、前記フォトレジスト層内にパターン付けられたトレンチが前記エッチングされるステップの第1段階中に第1誘電体層を介して前記第1ストップ層へとエッチングされ、ビアまたはコンタクト開口部が前記エッチングされるステップの第2段階中に第2誘電体層を介して第2ストップ層へとエッチングされるように実施され、前記第1ガス供給源開口部および第2ガス供給源開口部によって供給されるプロセス・ガスは、前記第1段階中のプロセス・ガス組成および/または流量が前記第2段階中のプロセス・ガス組成および/または流量と異なるように調整されることを特徴とする請求項28に記載の方法。
  31. 前記バッフルプレートが、上部バッフルプレートであり、前記バッフル構成が、下部バッフルプレートをさらに含み、前記第1開口部が、前記上部バッフルプレートおよび前記下部バッフルプレートを介して連続し、途切れのない流体経路を画定するように、前記上部バッフルプレートおよび前記下部バッフルプレートに配置されていることを特徴とする請求項1に記載のガス分配システム
JP2001508476A 1999-06-30 2000-06-12 半導体処理用のガス分配装置 Expired - Lifetime JP4732646B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/343,690 US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing
US09/343,690 1999-06-30
PCT/US2000/016147 WO2001003159A1 (en) 1999-06-30 2000-06-12 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
JP2003504841A JP2003504841A (ja) 2003-02-04
JP4732646B2 true JP4732646B2 (ja) 2011-07-27

Family

ID=23347196

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001508476A Expired - Lifetime JP4732646B2 (ja) 1999-06-30 2000-06-12 半導体処理用のガス分配装置

Country Status (10)

Country Link
US (2) US6245192B1 (ja)
EP (1) EP1200981B1 (ja)
JP (1) JP4732646B2 (ja)
KR (1) KR100697158B1 (ja)
CN (1) CN1238881C (ja)
AU (1) AU5608700A (ja)
DE (1) DE60036291T2 (ja)
IL (1) IL147033A0 (ja)
TW (1) TW460915B (ja)
WO (1) WO2001003159A1 (ja)

Families Citing this family (589)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20050026436A1 (en) * 2000-12-21 2005-02-03 Hogan Timothy J. Method for improving ash rate uniformity in photoresist ashing process equipment
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP4791637B2 (ja) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100501778B1 (ko) * 2001-03-28 2005-07-20 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004143521A (ja) * 2002-10-24 2004-05-20 Sony Corp 薄膜形成装置
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100500246B1 (ko) 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
KR100941959B1 (ko) * 2003-05-31 2010-02-11 주성엔지니어링(주) 액정표시장치용 박막증착장치
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
KR100563818B1 (ko) * 2003-08-01 2006-03-28 동부아남반도체 주식회사 플라즈마 에칭 챔버의 배플 플레이트를 위한 치구
KR100979920B1 (ko) 2003-09-08 2010-09-03 주성엔지니어링(주) 액정표시장치용 증착 장치
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
EP1788118A3 (en) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
JP4451684B2 (ja) * 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252447A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7543371B2 (en) * 2004-06-23 2009-06-09 Hitachi Global Storage Technologies Netherlands B.V. Apparatus for a disk drive actuator pivot set height tooling with an active servo compensation
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP2006080347A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp プラズマ処理装置
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
TWI257541B (en) * 2004-10-05 2006-07-01 Realtek Semiconductor Corp Display data output up/down frequency method, display control chip and display device
KR100667676B1 (ko) 2004-10-15 2007-01-12 세메스 주식회사 플라즈마 처리 장치의 가스분사장치
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
DE102005004312A1 (de) * 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP4701776B2 (ja) * 2005-03-25 2011-06-15 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
WO2006121264A1 (en) * 2005-05-09 2006-11-16 Asm Genitech Korea Ltd. Multiple inlet tomic layer deposition reactor
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
KR100599056B1 (ko) * 2005-07-21 2006-07-12 삼성전자주식회사 포토레지스트 제거 장치 및 방법
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP5308664B2 (ja) * 2005-09-01 2013-10-09 パナソニック株式会社 プラズマ処理装置
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
EP1970468B1 (de) * 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
CN101488446B (zh) 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
CN101499407B (zh) * 2008-02-02 2010-07-28 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP2009230810A (ja) * 2008-03-24 2009-10-08 Hitachi Global Storage Technologies Netherlands Bv 垂直磁気記録ヘッドの製造方法
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
CN101315880B (zh) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及采用该气体分配装置的等离子体处理设备
CN102124544B (zh) * 2008-08-18 2013-11-13 岩谷产业株式会社 团簇喷射式加工方法、半导体元件、微机电元件及光学零件
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
KR101698615B1 (ko) * 2008-12-10 2017-01-20 램 리써치 코포레이션 실리콘 전극 연마를 용이하게 하는 플래튼 및 어댑터 어셈블리
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US20100252047A1 (en) 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8894767B2 (en) * 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
KR101108879B1 (ko) 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
TW201123291A (en) * 2009-09-25 2011-07-01 Applied Materials Inc Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TW201134979A (en) * 2010-04-13 2011-10-16 Ind Tech Res Inst Gas distribution shower module and film deposition apparatus
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
CN101914762B (zh) * 2010-08-31 2013-03-06 广东省中科宏微半导体设备有限公司 一种用于金属有机物化学气相沉积设备的进气喷头结构
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
CN102061458B (zh) * 2010-11-29 2012-05-30 保定天威集团有限公司 基板镀膜设备的气体分布系统及方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9543123B2 (en) * 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
CN103620734B (zh) 2011-06-30 2017-02-15 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI661746B (zh) * 2011-10-05 2019-06-01 應用材料股份有限公司 電漿處理設備及其蓋組件(一)
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
KR101805582B1 (ko) * 2011-12-21 2017-12-07 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
KR101804126B1 (ko) * 2011-12-21 2017-12-05 주식회사 원익아이피에스 가스분사장치 및 기판처리장치
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN205382207U (zh) * 2012-07-25 2016-07-13 应用材料公司 气体扩散组件、低温多晶硅处理腔室系统及令处理气体流入处理腔室的组件
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP6144902B2 (ja) 2012-12-10 2017-06-07 東京エレクトロン株式会社 マイクロ波放射アンテナ、マイクロ波プラズマ源およびプラズマ処理装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103993293B (zh) * 2013-02-15 2018-06-26 诺发系统公司 带温度控制的多室喷头
DE102013101534A1 (de) 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR102098071B1 (ko) * 2013-09-27 2020-04-07 엘지디스플레이 주식회사 가스분사유닛 및 이를 구비하는 기판처리장치
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6356415B2 (ja) 2013-12-16 2018-07-11 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104835876B (zh) * 2015-04-27 2018-01-05 北京金晟阳光科技有限公司 气体均匀布气装置
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI571529B (zh) * 2015-12-18 2017-02-21 國立清華大學 具導流板之封閉式流道反應槽系統
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102214350B1 (ko) 2016-05-20 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111066133B (zh) * 2017-08-11 2023-08-22 应用材料公司 用于改善热化学气相沉积(cvd)均匀性的设备和方法
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP1605832S (ja) 2017-11-06 2018-06-04
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR101943375B1 (ko) * 2017-11-30 2019-01-30 주식회사 원익아이피에스 가스분사장치 및 기판 처리 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020209939A1 (en) 2019-04-08 2020-10-15 Applied Materials, Inc. Methods for modifying photoresist profiles and tuning critical dimensions
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN113924386A (zh) * 2019-05-15 2022-01-11 应用材料公司 用于处理系统的动态多区流动控制
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
JP2022534564A (ja) * 2019-05-29 2022-08-02 ラム リサーチ コーポレーション 均一性調整のためのシャワーヘッドインサート
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220093206A (ko) * 2019-11-08 2022-07-05 어플라이드 머티어리얼스, 인코포레이티드 가스 전달 조절을 위한 챔버 컴포넌트들
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115513033A (zh) * 2022-09-09 2022-12-23 江苏微导纳米科技股份有限公司 一种喷淋组件、半导体设备及晶片的加工方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS63262469A (ja) 1987-04-17 1988-10-28 Fujitsu Ltd ガス供給装置
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
JPH0456770A (ja) 1990-06-25 1992-02-24 Hitachi Electron Eng Co Ltd プラズマcvd装置のクリーニング方法
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JP3036477B2 (ja) 1997-07-31 2000-04-24 日本電気株式会社 半導体製造装置
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system

Also Published As

Publication number Publication date
CN1238881C (zh) 2006-01-25
WO2001003159A1 (en) 2001-01-11
CN1359531A (zh) 2002-07-17
AU5608700A (en) 2001-01-22
JP2003504841A (ja) 2003-02-04
US6432831B2 (en) 2002-08-13
DE60036291T2 (de) 2008-05-29
TW460915B (en) 2001-10-21
US6245192B1 (en) 2001-06-12
KR20020028921A (ko) 2002-04-17
EP1200981B1 (en) 2007-09-05
US20010027026A1 (en) 2001-10-04
IL147033A0 (en) 2002-08-14
KR100697158B1 (ko) 2007-03-21
EP1200981A1 (en) 2002-05-02
WO2001003159A9 (en) 2002-05-02
DE60036291D1 (de) 2007-10-18

Similar Documents

Publication Publication Date Title
JP4732646B2 (ja) 半導体処理用のガス分配装置
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
JP4970679B2 (ja) 温度均一性が改良されたプラズマ反応チャンバ構成部品及びそれを用いた処理方法
KR101280184B1 (ko) 플라즈마 프로세싱을 위해 rf 전력 및 공정 가스를 공급하는 가스 분배 부재
KR20180126391A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
TWI775814B (zh) 用以降低發弧的氦插塞設計
JP2007535817A (ja) シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
CN114901860A (zh) 用于气体输送调节的腔室部件
CN112117177A (zh) 工程气体供应装置以及配备上述装置的基板处理系统
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110408

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110421

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140428

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4732646

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term