CN112368802A - 用于ald工艺的方法和设备 - Google Patents

用于ald工艺的方法和设备 Download PDF

Info

Publication number
CN112368802A
CN112368802A CN201980044799.5A CN201980044799A CN112368802A CN 112368802 A CN112368802 A CN 112368802A CN 201980044799 A CN201980044799 A CN 201980044799A CN 112368802 A CN112368802 A CN 112368802A
Authority
CN
China
Prior art keywords
chamber
gas
gas channels
substrate
lid assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980044799.5A
Other languages
English (en)
Inventor
杰弗里·A·霍
高建德
周建华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112368802A publication Critical patent/CN112368802A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/80Constructional details
    • H10K59/87Passivation; Containers; Encapsulations
    • H10K59/873Encapsulations
    • H10K59/8731Encapsulations multilayered coatings having a repetitive structure, e.g. having multiple organic-inorganic bilayers

Abstract

本公开内容涉及用于原子层沉积(ALD)腔室的方法和设备。在一个实施方式中,提供一种盖组件,所述盖组件包括:多通道喷头,所述多通道喷头具有多个第一气体通道和与所述第一气体通道中的每一者流体地隔离的多个第二气体通道;和流引导件,所述流引导件耦接到所述多通道喷头的相对侧,所述流引导件中的每一者流体地耦接到所述多个第二气体通道。

Description

用于ALD工艺的方法和设备
技术领域
本公开内容的实施方式总体涉及用于处理大面积基板的方法和设备。更特定地,本公开内容的实施方式涉及一种用于在大面积基板上形成电子器件的腔室。
背景技术
有机发光二极管(OLED)用来制造用于显示信息的电视机屏幕、计算机监视器、移动电话、其他手持式装置等。典型的OLED可包括位于两个电极之间的有机材料层,这些有机材料层全部以形成具有可单独地供电的像素的矩阵显示面板的方式沉积在基板上。OLED一般置于两个玻璃面板之间,并且玻璃面板的边缘被密封以将OLED包封在其中。
OLED行业、以及利用基板处理技术的其他行业必须包封湿敏器件,以保护该湿敏器件免于发生环境湿气暴露。已经提出薄保形材料层来作为通过包封层降低水蒸气透过率(WVTR)的手段。当前,有在商业上实现的许多方式。正在考虑使用原子层沉积(ALD)工艺覆盖湿敏器件以确定这些涂层的保形性质是否可比其他涂层提供更有效的湿气阻隔。
ALD是基于原子层外延(ALE)的,并且采用化学吸附技术在连续循环中将前驱物分子输送在基板表面上。该循环将基板表面暴露于第一前驱物并然后暴露于第二前驱物。任选地,可在前驱物的引入操作之间引入净化气体。第一前驱物和第二前驱物反应以在基板表面上形成产物化合物而形成膜。该循环重复以将层形成到所期望的厚度。
一种执行ALD的方法是沿着单个流动路径进行前驱物气体的时间分隔(TS)脉冲。与其他方法相比,该方法具有若干优点。然而,TS-ALD的一个缺点是沉积膜倾向于在基板的一侧上相对于另一侧而更厚。
因此,需要改进整个基板表面上的膜厚度均匀性。
发明内容
本公开内容涉及用于在器件(诸如OLED)上进行薄膜包封(TFE)的方法和设备。本公开内容还涉及用于原子层沉积(ALD)腔室的方法和设备。所述方法的实施方式包括前驱物气体在大面积基板上的双向流动。
在一个实施方式中,提供一种盖组件,所述盖组件包括:多通道喷头,所述多通道喷头具有多个第一气体通道和与所述第一气体通道中的每一者流体地隔离的多个第二气体通道;和流引导件,所述流引导件耦接到所述多通道喷头的相对侧,所述流引导件中的每一者流体地耦接到所述多个第二气体通道。
在一个实施方式中,公开一种用于原子层沉积(ALD)腔室的盖组件。所述盖组件包括:多通道喷头;介电裙状部,所述介电裙状部围绕所述多通道喷头;和流引导件,所述流引导件耦接到所述多通道喷头的相对侧,其中所述多通道喷头包括多个第一气体通道和与所述第一气体通道中的每一者流体地隔离的多个第二气体通道,并且所述流引导件中的每一者流体地耦接到所述多个第二气体通道。
在另一个实施方式中,提供一种用于原子层沉积(ALD)腔室的盖组件。所述盖组件包括:多通道喷头;介电裙状部,所述介电裙状部围绕所述多通道喷头;和流引导件,所述流引导件耦接到所述多通道喷头的相对侧,所述流引导件中的每一者包括歧管,所述歧管具有形成在其中的狭槽,其中所述多通道喷头包括多个第一气体通道和与所述第一气体通道中的每一者流体地隔离的多个第二气体通道,并且所述歧管中的每一者流体地耦接到所述多个第二气体通道。
附图说明
为了可详细地理解本公开内容的上述特征的方式,可参考实施方式来进行上文简要地概述的本公开内容的更特别的描述,所述实施方式中的一些示于附图。然而,应注意,附图仅示出了本公开内容的典型的实施方式,并且因此不应视为对其范围的限制,因为本公开内容可允许其他等效实施方式。
图1示出了根据本公开内容的某些方面的示例性处理系统。
图2A是示出根据本文中描述的实施方式的说明性ALD处理腔室的侧视截面图。
图2B是从图2A中示出的视图旋转了90度的处理腔室的侧视截面图。
图3是图2A和图2B的处理腔室的分解图。
图4和图5分别是图2A和图2B的盖组件的部分的放大截面图。
图6A是盖组件的一部分的等距俯视图。
图6B是图6A中示出的盖组件的一部分的放大图。
图6C是盖组件的局部截面图。
图7A和图7B分别是在第一方向和第二方向上在循环期间第二气体的脉冲的实施方式的示意图。
图8A和图8B是分别示出在第一循环和第二循环期间的净化/载体气体源的流动的示意图。
为了便于理解,已经尽可能地使用相同的附图标记标示各图共有的相同元件。设想的是,一个实施方式中公开的要素可有益地用于其他实施方式,而无需具体地叙述。
具体实施方式
本公开内容的实施方式包括一种处理系统,该处理系统可操作以在基板上沉积多个层,该多个层能够充当形成在基板上的OLED层的包封层。该系统包括多个处理腔室,其中每个处理腔室可操作以沉积多个层中的一者或多者。该处理系统还包括至少一个传送腔室和至少一个装载锁定腔室。该至少一个传送腔室使得能够在多个处理腔室之间传送基板而不破坏处理系统中的真空。该至少一个装载锁定腔室使得能够从处理系统装载和移除基板而不破坏处理系统中的真空。该处理系统还包括掩模腔室,该掩模腔室使得能够装载和移除处理腔室中使用的掩模而不破坏处理系统中的真空。
本公开内容的实施方式包括原子层沉积(ALD)处理腔室,该原子层沉积(ALD)处理腔室可操作以相对于基板对准掩模,将掩模定位在基板上,并且执行ALD以在形成在基板上的OLED上沉积包封层。在ALD处理腔室中执行的ALD工艺可为时间分隔ALD(TS-ALD),但本文中描述的实施方式可与其他类型的处理腔室一起使用,并且不限于与TS-ALD处理腔室一起使用。由ALD处理腔室沉积的包封层可包含氮化硅(SiN),但本文中描述的实施方式可与其他类型的处理腔室一起使用而不限于与SiN CVD处理腔室一起使用。
本文中描述的实施方式可与其他类型的沉积工艺一起使用,而不限于用于包封形成在基板上的OLED。本文中描述的实施方式可与各种类型、形状和大小的掩模和基板一起使用。
本文所使用的基板是柔性大面积基板,诸如具有约1平方米或更大的表面积的基板。然而,基板不限于任何特定大小或形状。在一方面中,术语“基板”是指任何多边形、正方形、矩形、弯曲或其他非圆形工件,例如,诸如用于制造平板显示器的玻璃或聚合物基板。
在下文的描述中,除非另有说明,否则术语“气体(gas)”和“气体(gases)”可互换地使用,并且是指一种或多种前驱物、反应物、催化剂、载体气体、净化气体、清洁气体、流出物、它们的组合以及任何其他流体。
图1是示出根据本公开内容的一个实施方式的说明性处理系统100的俯视截面图。示出与处理系统100相邻以及在该处理系统100内的示例性基板102。处理系统100包括装载锁定腔室104、传送腔室106、在传送腔室106内的传送(例如,工具和材料搬运)机器人108、第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114、ALD处理腔室116和掩模腔室118。第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和每个腔室的相关联的硬件优选地由一种或多种工艺相容材料形成,例如诸如铝、阳极化铝、镀镍铝、碳钢、不锈钢、石英和它们的组合和合金。如要涂覆的基板的形状以及其他处理要求所要求,第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114和ALD处理腔室116可为圆形、矩形或其他形状。
传送腔室106包括在与装载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114、ALD处理腔室116和掩模腔室118相邻的侧壁中的狭缝阀开口121、123、125、127、129。传送机器人108被定位并构造为能够通过传送端口开口121、123、125、127、129的每一者将一个或多个工具(例如,基板搬运叶片)插入到相邻腔室中。即,传送机器人可经由在与其他腔室中的每一者相邻的传送腔室106的壁中的传送端口开口121、123、125、127、129将工具插入到装载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114、ALD处理腔室116和掩模腔室118中。传送端口开口121、123、125、127、129选择性地用传送端口阀120、122、124、126、128打开和关闭,以在基板、掩模、工具或其他物品要从相邻腔室中的一者插入或移除时允许进入相邻腔室的内部。
传送腔室106、装载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和掩模腔室118包括与真空系统(例如,真空泵)流体连通的一个或多个孔(未示出)。所述孔为各种腔室内的气体提供了出口。在一些实施方式中,腔室各自连接到单独且独立的真空系统。在其他实施方式中,一些腔室共享真空系统,而其他腔室具有单独且独立的真空系统。真空系统可包括真空泵(未示出)和节流阀(未示出)以调节通过各种腔室的气体流。
除了基板之外,放置在第一CVD腔室110、第二CVD腔室112和ALD处理腔室116内的掩模、掩模片和其他物品可被称为“工艺配件”。可将工艺配件物品从处理腔室中移除以用于清洁或更换。传送腔室106、掩模腔室118、第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116的大小和形状设为允许掩模、掩模片和其他工艺配件物品在它们之间进行传送。也就是说,传送腔室106、掩模腔室118、第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116的大小和形状设为使得任何工艺配件物品都可在所有传送端口开口121、123、125、127、129都被每个传送端口开口121、123、125、127、129的对应阀120、122、124、126、128关闭的情况下被完全地容纳在它们中的任一者中。因此,由于掩模腔室118用作气闸,从而允许在不破坏除了掩模腔室之外的任何腔室中的真空的情况下从处理系统中移除工艺配件物品,故可在不破坏处理系统的真空的情况下移除并更换工艺配件物品。此外,在传送腔室106与掩模腔室118之间的狭缝阀开口129、在传送腔室106与CVD处理腔室110、112之间的狭缝阀开口123、125和在传送腔室106与ALD处理腔室116之间的狭缝阀开口127的大小和形状都设为允许工艺配件物品在传送腔室106与掩模腔室118、CVD处理腔室110、112和ALD处理腔室116之间进行传送。
掩模腔室118在掩模腔室118的与传送腔室106的狭缝阀开口129相对的一侧上具有门130和门道131。门道131的大小和形状设为允许将掩模和其他工艺工具传送进出掩模腔室118。门130能够在关闭时在门道131上形成气密密封。掩模腔室118的大小和形状设为允许任何工艺配件物品在门130关闭且通向传送腔室106的狭缝阀128也关闭的情况下被完全地容纳在掩模腔室118内。也就是说,掩模腔室118的大小和形状设为使得任何工艺配件物品都可从传送腔室106移动到掩模腔室118中,并且可在掩模腔室118的门130不打开的情况下关闭狭缝阀128。
为了简化描述,现在将描述在处理系统100内执行的示例性涂覆工艺。示例性涂覆工艺由工艺控制器控制,该工艺控制器可为计算机或计算机系统,其可位于第三CVD处理腔室114处。
参考图1,基板的示例性处理任选地开始于传送机器人108从掩模腔室118中取出掩模并将掩模放置在ALD处理腔室116中。将掩模放置在ALD处理腔室116中是任选的,因为掩模可从较早前的处理起就留在了ALD处理腔室116中,并且可使用同一掩模来处理多个基板。类似地,传送机器人108可任选地从掩模腔室118中取出其他掩模并将该掩模放置在第一CVD处理腔室110和第二CVD处理腔室112中。在将掩模放置在第一CVD处理腔室110、第二CVD处理腔室112和第三CVD处理腔室114以及ALD处理腔室116内时,可打开和关闭在腔室之间的适当的狭缝阀122、124、126、128。
接着,传送机器人108从装载锁定腔室104中取出基板并将基板放置在第一CVD处理腔室110中。工艺控制器控制阀、致动器和处理腔室的其他部件以执行CVD处理。工艺控制器致使狭缝阀122关闭,从而使第一CVD处理腔室110与传送腔室106隔离。工艺控制器还致使基板支撑构件或基座将基板定位来用于CVD处理。如果传送机器人未将掩模放置在正确处理位置,则工艺控制器可启动一个或多个致动器以将掩模定位。替代地或附加地,基座也可将掩模定位来用于处理。掩模用来掩蔽基板的某些区域并防止在基板的那些区域上发生沉积。
现在,工艺控制器启动阀以开始使前驱物和其他气体流动到第一CVD处理腔室110中。例如,前驱物气体可包括硅烷SiH4。工艺控制器控制加热器、等离子体放电部件和气体流,以致使CVD工艺进行并在基板上沉积材料层。在一个实施方式中,沉积的层可为氮化硅SiN,但本公开内容的实施方式不限于该材料。如上文所指出,本公开内容的实施方式还可用于执行PECVD。继续进行基板的示例性处理中的CVD工艺,直到沉积的层达到所要求的厚度为止。在一个示例性实施方式中,所要求的厚度是5,000至10,000埃(500至1,000nm)。
当第一CVD处理腔室110中的CVD工艺完成时,工艺控制器致使第一CVD处理腔室110真空水平与传送腔室106的真空水平相匹配并然后控制基座将基板降低到传送位置。工艺控制器还致使在第一CVD处理腔室110与传送腔室106之间的狭缝阀122打开并然后指导传送机器人108从第一CVD处理腔室110取出基板。然后,工艺控制器致使在第一CVD处理腔室110与传送腔室106之间的狭缝阀122关闭。
接着,工艺控制器致使在传送腔室106与ALD处理腔室116之间的狭缝阀126打开。传送机器人108将基板放置在ALD处理腔室116中,并且工艺控制器致使在传送腔室106与ALD处理腔室116之间的狭缝阀126关闭。工艺控制器还致使基板支撑构件或基座将基板定位来用于ALD处理。如果传送机器人未将掩模放置在正确处理位置,则工艺控制器可启动一个或多个致动器以将掩模定位。替代地或附加地,基座可将掩模定位来用于处理。掩模用来掩蔽基板的某些区域并防止在基板的那些区域上发生沉积。
现在,工艺控制器启动阀以开始使前驱物和其他气体流动到ALD处理腔室116中。所使用的一种或多种特定气体取决于要执行的一个或多个工艺。该气体可包括三甲基铝(CH3)3Al(TMA)、氮气N2和氧气O2,然而,该气体不限于此并可包括一种或多种前驱物、还原剂、催化剂、载体、净化气体、清洁气体或它们的任何混合物或组合。气体可从一侧被引入到ALD处理腔室中并流过基板。取决于处理系统的要求,工艺控制器可控制阀,使得在任何特定时刻都只有一种气体被引入到ALD处理腔室中。在其他实施方式中,可在ALD处理腔室116中用诸如TEMAZ(四乙基甲基氨基锆,Zr[N(CH3)(C2H5)]4)的前驱物执行ZrO2膜形成工艺。
工艺控制器还控制功率源,该功率源能够将气体激发成反应物种并维持反应物种的等离子体以致使反应物种与基板反应并涂覆该基板。例如,可使用基于射频(RF)或微波(MW)的功率放电技术。激发也可通过基于热的技术、气体分解技术、高强度光源(例如,UV能量)或暴露于X射线源来产生。在示例性工艺中,氧被激发成等离子体,并且等离子体与基板反应并在基板上沉积氧层。然后,工艺控制器致使TMA流过基板,并且TMA与在基板上的氧层反应,从而在基板上形成氧化铝层。工艺控制器致使以下步骤重复:使氧流动、将氧激发成等离子体和使TMA流动以在基板上形成附加层。工艺控制器继续重复上述步骤,直到氧化铝沉积层达到所要求的厚度为止。在一个示例性实施方式中,所要求的厚度是500至700埃(50至70nm)。
当在ALD处理腔室116中的ALD工艺完成时,工艺控制器致使ALD处理腔室116抽空并然后控制基座将基板降低到传送位置。工艺控制器还致使在ALD处理腔室116与传送腔室106之间的狭缝阀126打开并然后指导传送机器人108从ALD处理腔室116中取出基板。然后,工艺控制器致使在ALD处理腔室116与传送腔室106之间的狭缝阀126关闭。
仍然参考图1,接着,工艺控制器致使在传送腔室106与第二CVD处理腔室112之间的狭缝阀124打开。传送机器人108将基板放置在第二CVD处理腔室112中,并且工艺控制器致使在传送腔室106与第二CVD处理腔室112之间的狭缝阀124关闭。在第二CVD处理腔室112中的处理与上文描述的在第一CVD处理腔室110中的处理类似。在基板的示例性处理中,继续在第二CVD处理腔室112中执行的CVD工艺,直到沉积层达到所期望的厚度为止。在一个示例性实施方式中,所期望的厚度是5,000至10,000埃(500至1,000nm)。
因此,当完成在第二CVD处理腔室112中的工艺时,将使基板涂覆5,000至10,000埃厚的第一SiN层、500至700埃厚的Al2O3层和5,000至10,000埃厚的第二SiN层。相信与仅SiN相比,Al2O3层降低了通过包封层的水蒸气传送速率,由此与仅用SiN包封相比,提高了包封的可靠性。
在以上参考图1描述的示例性工艺中,CVD处理腔室110、112和ALD处理腔室116中的每一者装载有掩模。替代地,处理系统100可执行其中掩模与基板一起从处理腔室移动到处理腔室的工艺。也就是说,在第二示例性工艺中,将基板和掩模(同时地或单独地)放置在第一CVD处理腔室110中,并且关闭在传送腔室106与第一处理腔室110之间的狭缝阀122。然后,在基板上执行CVD工艺。然后,将基板和掩模(同时地或单独地)移动到ALD处理腔室116中,并且关闭在传送腔室与ALD处理腔室116之间的狭缝阀126。然后,在基板上执行ALD工艺。然后,将基板和掩模(同时地或单独地)移动到第二CVD处理腔室112中。然后,在基板上执行CVD工艺,并且然后,从第二CVD处理腔室112中移除基板和掩模。如果完成,则可将基板从处理系统100中移除,并且可将掩模用于处理新的基板或从处理系统100中移除来例如进行清洁。
图2A是示出根据本文中描述的实施方式的说明性ALD处理腔室200的侧视截面图。图2B是从图2A中示出的视图旋转了90度的处理腔室200的侧视截面图。图2A和图2B中示出的ALD处理腔室200类似于图1中示出的ALD处理腔室116。
处理腔室200包括腔室主体204、盖组件206和基座或基板支撑组件208。盖组件206设置在腔室主体204的上端处,并且基板支撑组件208至少部分地设置在腔室主体204内。图2A中示出的处理腔室200的基板支撑组件208在传送位置,而图2B中示出的处理腔室200的基板支撑组件208在处理位置。
盖组件206包括第一通道235A和第二通道235B(两者都在图2B中示出)。第一通道235A和第二通道235B两者耦接到气体源210、净化/载体气体源234和泵212。泵212是真空系统220的部分。气体源210、净化/载体气体源234和泵212中的每一者由阀244控制。盖组件206还包括多通道喷头218和背板242。
真空系统220包括泵212以及泵222。泵222耦接到阀224。真空系统220由工艺控制器控制,以维持ALD处理腔室内的压力适于ALD工艺。真空系统220可用于维持处理腔室200的内部容积228中的第一压力。真空系统220还可用于维持限定在多通道喷头218与背板242之间的容积230内的第二压力。在本公开内容的一个实施方式中,第一压力可小于第二压力。
盖组件206还包括将多通道喷头218悬挂在处理腔室200中的吊架组件260(图2A中最佳地示出)。吊架组件260基本上包围介电裙状部262。介电裙状部262由聚合物材料制成,诸如含氟聚合物,该聚合物材料将盖组件206的部分与腔室主体204电绝缘。诸如O形环密封件的密封件264设置在背板242和腔室主体204的界面处。介电裙状部262的一部分定位在密封件264之间。陶瓷覆盖物266被定位成向内延伸以至少部分地覆盖多通道喷头218。
腔室主体204包括狭缝阀开口214,该狭缝阀开口形成在该腔室主体的侧壁中以提供通向处理腔室200的内部的通路。如上文参考图1所述,狭缝阀开口214被选择性地打开和关闭以允许传送机器人(参见图1)进入腔室主体204的内部。盖组件206还包括在背板242内的中心通道236。中心通道236用来输送来自气体源241的清洁气体和/或净化/载体气体。在一些实施方式中,清洁气体流过远程等离子体源242,该远程等离子体源在清洁气体进入容积230之前激励清洁气体。盖组件206还包括射频(RF)功率源252,该射频(RF)功率源形成RF路径(由图2B中的箭头所示),以激励在基板102与多通道喷头218之间的处理容积254中的前驱物气体。在其他实施方式中,气体源241包括氧气,该氧气经由多通道喷头218直接地流动到处理容积254。在一个实施方式中,来自气体源241的氧气可连续地(在处理期间)流动到处理容积254。气体源241中的气体可被称为第一气体或连续气体。气体源210(如上文所讨论)可被称为第二气体或脉冲气体。
前驱物气体(例如,第二气体或脉冲气体)以及净化/载体气体交替地从第一通道235A和第二通道235B流过多通道喷头218,以提供跨掩模片243的双向流动路径。双向流动路径将在下面详细地描述。
在基板支撑组件208上方,掩模框架243由支撑构件238支撑。支撑构件238还可用作掩模框架243的对准和/或定位装置。基板102被示出为由可移动地设置在基板支撑组件208中的升降杆239支撑。在图2A中,基板102被示出为在传送位置,使得机器人搬运叶片(未示出)可接近基板102的与基板支撑组件208相对的表面。在图2B中示出的处理位置,可由基板支撑组件208将基板102提升到与掩模框架243相邻的位置。特别地,基板102适于与耦接到掩模框架243的掩模片232接触或接近。
图3是图2A和图2B的处理腔室200的分解图。除了背板242、多通道喷头218和介电裙状部262之外,盖组件206还包括定位在背板242顶部的冷却板300和RF热屏蔽件305。冷却板300和RF热屏蔽件305两者可由铝制成。冷却板300包括冷却剂通道(未示出),冷却流体可在该冷却剂通道中流动。
图4和图5分别是图2A和图2B的盖组件206的部分的放大截面图。多通道喷头218包括被示出为第一板400和第二板405的多个板。第一板400包括多个第一气体通道410。第二板405包括多个第二气体通道415(图4中示出)。第二板405还包括穿过第二板405形成的多个第一孔口420,该第一孔口与第一板400中的第一气体通道410中的相应一者流体连通并同心。第二板405还包括从中穿过而形成的多个第二孔口425,该第二孔口与第二气体通道415中的相应一者流体连通。多个第二气体通道415的至少一部分由第一板400界定。
多个第一气体通道410中的每一者与多个第一孔口420中的每一者协作,以将来自气体源241(图2A和图2B中示出)的第一气体430从容积230输送到处理容积254。同样地,第二气体通道415中的每一者与相应第二孔口425协作,以输送来自气体源210的离开第二通道235B第二气体435。尽管未示出,但第二气体通道415和第二孔口425中的每一者流体地耦接到第一通道235A。
在处理期间,第一气体430可连续地流动。然而,第二气体435按间隔交替地从第二通道235B和第一通道235A被脉冲。第二通道235B和第一通道235A中的每一者耦接到流引导件440。
图6A是盖组件206的一部分的等距俯视图。图6B是图6A中示出的盖组件206的一部分的放大图。
图6A和图6B中示出了流引导件440。流引导件440定位在背板242与多通道喷头218之间。流引导件440在其两侧包括覆盖物600。覆盖物600围封歧管605A和605B(图6A的右手侧仅示出了歧管605A)。各歧管605A、605B分别与第一通道235A和第二通道235B流体连通。第一通道235A和第二通道235B中的每一者选择性地与气体源241、泵212和净化/载体气体源234(图2A和图2B中示出)流体连通。歧管605A、605B经由形成在相应歧管605A、605B的主体中的狭槽610而与多通道喷头218流体连通。
如图6B所示,第二气体435的流动路径由箭头示出。同样地,第一气体430的流动路径在图6B中由箭头示出。第一气体430从气体源241(图2A和图2B中示出)流过中心通道236并流动到形成在多通道喷头218中的第一气体通道410。在处理期间,如上文所讨论,第一气体430的流动可为连续的。
图6C是盖组件206的局部截面图。第二气体435从气体源241(图2A和图2B中示出)经由第二通道235B、歧管605B、狭槽610并到达第二气体通道415来交替地提供到多通道喷头218。尽管未示出,但歧管605A被类似地构造并流体地耦接到气体源241、泵212和净化/载体气体源234(图2A和图2B中示出)。
当通过第二通道235B和歧管605B将第二气体435和/或来自净化/载体气体源234的净化/载体气体提供到多通道喷头218时,歧管605A和第一通道235A流体地耦接到泵212。泵212从第二气体435中抽出未反应的任何前驱物气体以及净化/载体气体。然后,通过第一通道235A和歧管605A将第二气体435和/或来自净化/载体气体源234的净化/载体气体提供到多通道喷头218。在该时间期间,歧管605B和第二通道235B流体地耦接到泵212。泵212从第二气体435中抽出未反应的任何前驱物气体以及净化/载体气体。来自歧管605A和歧管605B中的任一者的第二气体435和/或净化/载体气体的双向流动可重复多个循环。
如图6C所示,第二通道235B包括定位在冷却板300与流引导件440之间的管620。管620由介电材料制成,所述介电材料诸如聚合物或陶瓷材料。流引导件440(例如,第一板400)以及第二板405可由铝材料制成。第一板400和第二板405例如通过钎焊工艺彼此耦接。
在ALD工艺序列的一个实施方式中,前驱物的第一循环在第一方向上流动并被提供到腔室(例如,经由多通道喷头218)达第一时间段。在第一循环之后的是第二循环,前驱物在第二方向(与第一方向相反)上流动并被提供到腔室(例如,经由多通道喷头218)达第二时间段。
第一循环和第二循环包括前驱物注入时段,其可为分别在第一方向或第二方向上流动的前驱物气体的脉冲。从气体源210(图2A和2B中示出)提供前驱物。前驱物包括含硅气体,诸如硅烷,例如二异丙基氨基硅烷(DIPAS)。第一循环和第二循环包括第一净化/载体气体时段和第二净化/载体气体时段的时段,并且在这两者之间设有等离子体时段。净化/载体气体是从净化/载体气体源234(图2A和图2B中示出)提供。在第一循环和第二循环两者期间,来自气体源241(图2A和图2B中示出)的气体连续地流动。
图7A和图7B分别是在第一方向715和第二方向720上的循环期间第二气体435的脉冲的实施方式的示意图。图8A和图8B是分别示出在第一循环705和第二循环710期间的净化/载体气体源的流动的示意图。图7A至图8B中示出的阀类似于图2B中示出的阀244。耦接到第一通道235A的阀包括控制气体源210的流动的阀800、控制净化/载体气体源234的流动的阀805和控制泵212的阀810。耦接到第二通道235B的阀包括控制泵212的阀815、控制净化/载体气体源234的流动的阀820和控制气体源210的流动的阀825。
在图7A中,示出了第二气体435在第一方向715上的流动。阀800和阀805打开以使第二气体435流动。控制泵212的阀810关闭。在第二气体435从第一通道235A流动到第二通道235B时,阀815打开以允许泵212排出过量气体,而阀820和阀825关闭。
在图7B中,示出了第二气体435在第二方向720上的流动。阀820和阀825打开以使第二气体435流动。控制泵212的阀815关闭。在第二气体435从第二通道235B流动到第一通道235A时,阀810打开以允许泵212排出过量气体,而阀800和阀805关闭。
在图8A中,示出了净化/载体气体在第一方向715上的流动。阀805打开以提供气体从净化/载体气体源234的流动。阀800和控制泵212的阀810关闭。在净化/载体气体从第一通道235A流动到第二通道235B时,阀815打开以允许泵212排出过量气体,而阀820和阀825关闭。
在图8B中,示出了净化/载体气体在第二方向720上的流动。阀820打开以提供气体从净化/载体气体源234的流动。阀800和阀805关闭。在净化/载体气体从第二通道235B流动到第一通道235A时,阀815关闭,并且阀810打开以允许泵212排出过量气体。阀825关闭。
本公开内容的实施方式包括一种用于ALD腔室的方法和设备,该方法和设备能够使前驱物气体进行双向流动。该方法和设备使在大面积基板上的膜均匀性提高了超过3倍。例如,本文中描述的方法和设备提供在大面积基板上小于1.5%的膜均匀性,而常规的ALD工艺在相同基板大小上具有约5%的均匀性。
尽管前述内容针对的是本公开内容的实施方式,但在不脱离本公开内容的基本范围的情况下,可设想本公开内容的其他和进一步实施方式,并且本公开内容的范围由所附权利要求书确定。

Claims (15)

1.一种用于原子层沉积(ALD)腔室中的盖组件,所述盖组件包括:
多通道喷头,所述多通道喷头具有多个第一气体通道和与所述第一气体通道中的每一者流体地隔离的多个第二气体通道;和
流引导件,所述流引导件耦接到所述多通道喷头的每个相对侧,所述流引导件中的每一者流体地耦接到所述多个第二气体通道,其中所述流引导件能操作以使气体在第一方向和第二方向上流过所述多通道喷头,所述第二方向与所述第一方向相反。
2.如权利要求1所述的盖组件,其中所述多通道喷头包括第一板和第二板。
3.如权利要求2所述的盖组件,其中所述多个第一气体通道中的每一者穿过所述第一板形成。
4.如权利要求3所述的盖组件,其中多个所述多个第一气体通道中的每一者穿过所述第一板形成并与穿过所述第二板形成的一个或多个第一孔口流体连通。
5.如权利要求2所述的盖组件,其中所述多个第二气体通道中的每一者形成在所述第二板中。
6.如权利要求2所述的盖组件,其中所述多个第二气体通道的至少一部分由所述第一板界定。
7.如权利要求1所述的盖组件,其中所述流引导件中的每一者包括歧管。
8.如权利要求7所述的盖组件,其中每个歧管通过形成在其中的狭槽流体地耦接到所述多个第二气体通道中的每一者。
9.一种用于原子层沉积(ALD)腔室中的盖组件,所述盖组件包括:
多通道喷头;
介电裙状部,所述介电裙状部包围所述多通道喷头;和
流引导件,所述流引导件耦接到所述多通道喷头的每个相对侧,其中所述多通道喷头包括多个第一气体通道和与所述第一气体通道中的每一者流体地隔离的多个第二气体通道,并且所述流引导件中的每一者流体地耦接到所述多个第二气体通道,其中所述流引导件能操作以使气体在第一方向和第二方向上流过所述多通道喷头,所述第二方向与所述第一方向相反。
10.如权利要求9所述的盖组件,其中所述流引导件中的每一者包括歧管。
11.如权利要求10所述的盖组件,其中每个歧管通过形成在其中的狭槽流体地耦接到所述多个第二气体通道中的每一者。
12.如权利要求9所述的盖组件,其中所述多通道喷头包括第一板和第二板。
13.如权利要求12所述的盖组件,其中所述多个第二气体通道的至少一部分由所述第一板界定。
14.如权利要求12所述的盖组件,其中所述多个第一气体通道中的每一者穿过所述第一板形成。
15.如权利要求14所述的盖组件,其中多个所述多个第一气体通道中的每一者穿过所述第一板形成并与穿过所述第二板形成的一个或多个第一孔口流体连通。
CN201980044799.5A 2018-07-31 2019-07-18 用于ald工艺的方法和设备 Pending CN112368802A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862712793P 2018-07-31 2018-07-31
US62/712,793 2018-07-31
PCT/US2019/042457 WO2020028062A1 (en) 2018-07-31 2019-07-18 Methods and apparatus for ald processes

Publications (1)

Publication Number Publication Date
CN112368802A true CN112368802A (zh) 2021-02-12

Family

ID=69228407

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980044799.5A Pending CN112368802A (zh) 2018-07-31 2019-07-18 用于ald工艺的方法和设备

Country Status (3)

Country Link
US (1) US11306393B2 (zh)
CN (1) CN112368802A (zh)
WO (1) WO2020028062A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
CN112095088B (zh) * 2020-07-29 2023-05-16 苏州迈正科技有限公司 一种快速切换镀膜工艺气体的方法及设备
EP3964608A1 (de) * 2020-09-02 2022-03-09 Siemens Aktiengesellschaft Direkte beschichtung einer membran mit einem katalysator
CN117980529A (zh) * 2021-08-25 2024-05-03 应用材料公司 使用与反应器接口配合的弹性物体进行的工艺气体围堵

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6530994B1 (en) * 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
WO2002015243A1 (fr) * 2000-08-11 2002-02-21 Tokyo Electron Limited Dispositif et traitement de substrat
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
KR101639230B1 (ko) * 2008-12-04 2016-07-13 비코 인스트루먼츠 인코포레이티드 화학 기상 증착 유동물 유입구 부재 및 방법
KR101100284B1 (ko) * 2010-06-21 2011-12-30 세메스 주식회사 박막 증착 장치
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
JP5843626B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
JP6386901B2 (ja) * 2014-12-17 2018-09-05 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
US10961621B2 (en) * 2015-06-04 2021-03-30 Svagos Technik, Inc. CVD reactor chamber with resistive heating and substrate holder
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
US11420217B2 (en) * 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery

Also Published As

Publication number Publication date
US11306393B2 (en) 2022-04-19
US20200040455A1 (en) 2020-02-06
WO2020028062A1 (en) 2020-02-06

Similar Documents

Publication Publication Date Title
US10184179B2 (en) Atomic layer deposition processing chamber permitting low-pressure tool replacement
US11306393B2 (en) Methods and apparatus for ALD processes
KR101236108B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
RU2502834C2 (ru) Способ и устройство для реакторов осаждения
EP2465972B1 (en) Method and system for thin film deposition
JP7369166B2 (ja) 薄膜封止処理システムおよびプロセスキット
KR101525210B1 (ko) 기판 처리장치
WO2020154023A1 (en) Method of forming moisture and oxygen barrier coatings
WO2020242817A1 (en) Atomic layer deposition reactor design for uniform flow distribution
KR101513504B1 (ko) 기판 처리장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination