IL161297A0 - Tunable multi-zone gas injection system - Google Patents

Tunable multi-zone gas injection system

Info

Publication number
IL161297A0
IL161297A0 IL16129702A IL16129702A IL161297A0 IL 161297 A0 IL161297 A0 IL 161297A0 IL 16129702 A IL16129702 A IL 16129702A IL 16129702 A IL16129702 A IL 16129702A IL 161297 A0 IL161297 A0 IL 161297A0
Authority
IL
Israel
Prior art keywords
gas
injection system
zone
tunable multi
flow rate
Prior art date
Application number
IL16129702A
Other languages
English (en)
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of IL161297A0 publication Critical patent/IL161297A0/xx

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04DNON-POSITIVE-DISPLACEMENT PUMPS
    • F04D17/00Radial-flow pumps, e.g. centrifugal pumps; Helico-centrifugal pumps
    • F04D17/08Centrifugal pumps
    • F04D17/16Centrifugal pumps for displacing without appreciable compression
    • F04D17/168Pumps specially adapted to produce a vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • ing And Chemical Polishing (AREA)
IL16129702A 2001-10-15 2002-10-09 Tunable multi-zone gas injection system IL161297A0 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32879601P 2001-10-15 2001-10-15
US10/024,208 US20030070620A1 (en) 2001-10-15 2001-12-21 Tunable multi-zone gas injection system
PCT/US2002/032057 WO2003034463A2 (en) 2001-10-15 2002-10-09 Tunable multi-zone gas injection system

Publications (1)

Publication Number Publication Date
IL161297A0 true IL161297A0 (en) 2004-09-27

Family

ID=26698179

Family Applications (2)

Application Number Title Priority Date Filing Date
IL16129702A IL161297A0 (en) 2001-10-15 2002-10-09 Tunable multi-zone gas injection system
IL161297A IL161297A (en) 2001-10-15 2004-04-04 Tunable multi-zone gas injection system

Family Applications After (1)

Application Number Title Priority Date Filing Date
IL161297A IL161297A (en) 2001-10-15 2004-04-04 Tunable multi-zone gas injection system

Country Status (11)

Country Link
US (3) US20030070620A1 (xx)
EP (1) EP1444717B1 (xx)
JP (2) JP5043288B2 (xx)
KR (1) KR100954709B1 (xx)
CN (2) CN1639831A (xx)
AT (1) ATE358887T1 (xx)
AU (1) AU2002356543A1 (xx)
DE (1) DE60219343T2 (xx)
IL (2) IL161297A0 (xx)
TW (1) TW589658B (xx)
WO (1) WO2003034463A2 (xx)

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
JP2005149956A (ja) * 2003-11-17 2005-06-09 Ulvac Japan Ltd 大面積高均一プラズマ処理方法及び装置
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
JP2008532324A (ja) * 2005-03-03 2008-08-14 アプライド マテリアルズ インコーポレイテッド 制御された処理結果分布を有するエッチング方法
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP4833778B2 (ja) * 2006-02-13 2011-12-07 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
CN101137266B (zh) * 2006-08-28 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
KR20080023172A (ko) * 2006-09-08 2008-03-12 주성엔지니어링(주) 기판 가장자리 식각 장치
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
JP5223377B2 (ja) 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
CN101585019B (zh) * 2008-05-19 2013-03-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体加工装置以及用于该装置中的喷嘴结构
KR101223945B1 (ko) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 클러스터 분사식 가공 방법, 반도체 소자, 미소 기전 소자, 및 광학 부품
US8747963B2 (en) * 2009-01-23 2014-06-10 Lockheed Martin Corporation Apparatus and method for diamond film growth
WO2011031321A2 (en) * 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
WO2011030326A1 (en) * 2009-09-11 2011-03-17 Ramot At Tel-Aviv University Ltd. System and method for generating a beam of particles
US20110305835A1 (en) * 2010-06-14 2011-12-15 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for a gas treatment of a number of substrates
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
JP5955062B2 (ja) 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5666991B2 (ja) * 2011-06-08 2015-02-12 東京エレクトロン株式会社 誘導結合プラズマ用アンテナユニットおよび誘導結合プラズマ処理装置
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP5865916B2 (ja) * 2011-10-31 2016-02-17 京セラ株式会社 ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法
US9396912B2 (en) * 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US20130337172A1 (en) * 2012-06-19 2013-12-19 Synos Technology, Inc. Reactor in deposition device with multi-staged purging structure
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10541183B2 (en) * 2012-07-19 2020-01-21 Texas Instruments Incorporated Spectral reflectometry window heater
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
CN103068137A (zh) * 2012-11-21 2013-04-24 中国科学院微电子研究所 一种进气结构及等离子体工艺设备
CN103060777B (zh) * 2012-12-25 2014-12-31 王奉瑾 激光激发cvd镀膜设备
JP6061384B2 (ja) * 2013-01-17 2017-01-18 国立大学法人静岡大学 アルミ・樹脂接合体の製造方法及びアルミ・樹脂接合体
US9790596B1 (en) * 2013-01-30 2017-10-17 Kyocera Corporation Gas nozzle and plasma device employing same
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
TWI473903B (zh) * 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP6359627B2 (ja) * 2013-03-15 2018-07-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
US9142456B2 (en) 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6317921B2 (ja) * 2013-12-20 2018-04-25 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
TWM503056U (zh) * 2014-07-24 2015-06-11 Wen-Hsin Chiang 用於電漿反應裝置之襯套單元
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
JP6525567B2 (ja) * 2014-12-02 2019-06-05 キヤノン株式会社 インプリント装置及び物品の製造方法
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
KR101872338B1 (ko) * 2016-09-28 2018-07-02 주식회사 월덱스 사파이어 소재를 이용한 고수명 이체형 가스분사장치 제조방법
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
JP7002268B2 (ja) * 2017-09-28 2022-01-20 東京エレクトロン株式会社 プラズマ処理装置
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR102601581B1 (ko) * 2018-10-31 2023-11-14 삼성전자주식회사 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
CN111328174A (zh) * 2018-12-17 2020-06-23 北京北方华创微电子装备有限公司 反应腔室及等离子体产生方法
CN111383888B (zh) * 2018-12-27 2022-03-11 江苏鲁汶仪器有限公司 等离子体刻蚀机
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US10553403B1 (en) * 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
CN112713073B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
US20220392753A1 (en) * 2019-11-05 2022-12-08 Lam Research Corporation Single crystal metal oxide plasma chamber component
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
KR20220019359A (ko) * 2020-08-10 2022-02-17 주성엔지니어링(주) 가스분배유닛을 이용한 가스공급방법
KR20220021206A (ko) * 2020-08-13 2022-02-22 삼성전자주식회사 플라즈마 처리 장치
CN114121582B (zh) * 2020-08-27 2023-10-31 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法
JP7543176B2 (ja) 2021-03-08 2024-09-02 株式会社アルバック プラズマ処理装置およびそのメンテナンス方法
KR20220131680A (ko) 2021-03-22 2022-09-29 세메스 주식회사 기판 처리 장치
AU2022246797A1 (en) 2021-03-31 2023-10-05 6K Inc. Systems and methods for additive manufacturing of metal nitride ceramics
CN113871283B (zh) * 2021-09-28 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备及其工艺腔室
KR20230116436A (ko) 2022-01-28 2023-08-04 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
US20230377848A1 (en) * 2022-05-23 2023-11-23 6K Inc. Microwave plasma apparatus and methods for processing materials using an interior liner
CN114698218A (zh) * 2022-05-30 2022-07-01 中国空气动力研究与发展中心超高速空气动力研究所 瞬态等离子体电子密度分布的七通道微波干涉仪测量方法
US12040162B2 (en) 2022-06-09 2024-07-16 6K Inc. Plasma apparatus and methods for processing feed material utilizing an upstream swirl module and composite gas flows
US12094688B2 (en) 2022-08-25 2024-09-17 6K Inc. Plasma apparatus and methods for processing feed material utilizing a powder ingress preventor (PIP)
CN115537765B (zh) * 2022-09-27 2024-07-12 盛吉盛(宁波)半导体科技有限公司 等离子体化学气相沉积装置和小尺寸沟槽填充方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US572771A (en) * 1896-12-08 Automatic machine-gun
JPS51144183A (en) 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
US4270999A (en) * 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
JPS59150417A (ja) * 1983-02-08 1984-08-28 Toshiba Corp 気相成長方法およびその装置
US4691662A (en) 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
US4614639A (en) 1985-04-26 1986-09-30 Tegal Corporation Compound flow plasma reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5160543A (en) 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US4992301A (en) 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
US4980240A (en) 1989-04-20 1990-12-25 Honeywell Inc. Surface etched shadow mask
US5104634A (en) 1989-04-20 1992-04-14 Hercules Incorporated Process for forming diamond coating using a silent discharge plasma jet process
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5164040A (en) 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5252132A (en) 1990-11-22 1993-10-12 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor film
JP2839720B2 (ja) 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5525159A (en) 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5425810A (en) 1994-05-11 1995-06-20 Internation Business Machines Corporation Removable gas injectors for use in chemical vapor deposition of aluminium oxide
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW285746B (xx) 1994-10-26 1996-09-11 Matsushita Electric Ind Co Ltd
DE4440323A1 (de) 1994-11-11 1996-05-15 Sulzer Metco Ag Düse für einen Brennerkopf eines Plasmaspritzgeräts
JPH08158072A (ja) * 1994-12-02 1996-06-18 Nippon Soken Inc ドライエッチング装置
US5685942A (en) 1994-12-05 1997-11-11 Tokyo Electron Limited Plasma processing apparatus and method
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3150056B2 (ja) 1995-10-19 2001-03-26 東京エレクトロン株式会社 プラズマ処理装置
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5792569A (en) * 1996-03-19 1998-08-11 International Business Machines Corporation Magnetic devices and sensors based on perovskite manganese oxide materials
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6077357A (en) 1997-05-29 2000-06-20 Applied Materials, Inc. Orientless wafer processing on an electrostatic chuck
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6294466B1 (en) 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6486069B1 (en) 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
KR100607991B1 (ko) * 2004-07-07 2006-08-02 삼성전자주식회사 화상제어장치용 광센서의 광량편차보정방법 및 인쇄기의화상제어장치

Also Published As

Publication number Publication date
US20100041238A1 (en) 2010-02-18
DE60219343T2 (de) 2007-12-13
AU2002356543A1 (en) 2003-04-28
US9051647B2 (en) 2015-06-09
TW589658B (en) 2004-06-01
WO2003034463A3 (en) 2003-06-19
JP5043288B2 (ja) 2012-10-10
KR100954709B1 (ko) 2010-04-23
WO2003034463A2 (en) 2003-04-24
DE60219343D1 (de) 2007-05-16
ATE358887T1 (de) 2007-04-15
JP5364054B2 (ja) 2013-12-11
US10403475B2 (en) 2019-09-03
CN101414537A (zh) 2009-04-22
KR20050034610A (ko) 2005-04-14
IL161297A (en) 2008-03-20
JP2005507159A (ja) 2005-03-10
US20150235811A1 (en) 2015-08-20
CN1639831A (zh) 2005-07-13
JP2011029645A (ja) 2011-02-10
CN101414537B (zh) 2011-11-09
EP1444717A2 (en) 2004-08-11
EP1444717B1 (en) 2007-04-04
US20030070620A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
IL161297A0 (en) Tunable multi-zone gas injection system
KR102581543B1 (ko) 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US20200149166A1 (en) Flow control features of cvd chambers
US10322384B2 (en) Counter flow mixer for process chamber
KR100782369B1 (ko) 반도체 제조장치
US5453124A (en) Programmable multizone gas injector for single-wafer semiconductor processing equipment
US20120097330A1 (en) Dual delivery chamber design
US6015591A (en) Deposition method
EP0550058B1 (en) A programmable multizone gas injector for single-wafer semiconductor processing equipment
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
KR20180063819A (ko) 기판 처리 장치 및 기판 처리 방법
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
KR20160137403A (ko) 에지 플레넘 샤워헤드 어셈블리를 포함한 증착 장치
KR20150002543A (ko) 가스 시일을 갖는 화학적 증착 챔버
KR100646017B1 (ko) 가스 분리형의 다수의 공동 전극을 이용한 샤워헤드
WO2005111267A3 (en) Gas distribution member supplying process gas and rf power for plasma processing
WO2002031858A3 (en) Gas distribution apparatus for semiconductor processing
AU6803900A (en) Method and apparatus for providing uniform gas delivery to substrates in cvd and pecvd processes
KR20140057136A (ko) 가스 커튼을 구비한 가스 샤워 장치 및 이를 이용한 박막 증착을 위한 기구
JP2004502318A5 (xx)
TW201502310A (zh) 用於遠距電漿原子層沉積之系統及方法
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
TW201618154A (zh) 氣體輸送裝置及電漿處理裝置
KR20200050041A (ko) 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
KR20040014760A (ko) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자제조장치 및 이를 이용한 반도체소자 제조방법

Legal Events

Date Code Title Description
FF Patent granted
KB Patent renewed