CN102763199A - 处理腔室之气流改良 - Google Patents

处理腔室之气流改良 Download PDF

Info

Publication number
CN102763199A
CN102763199A CN201180009422XA CN201180009422A CN102763199A CN 102763199 A CN102763199 A CN 102763199A CN 201180009422X A CN201180009422X A CN 201180009422XA CN 201180009422 A CN201180009422 A CN 201180009422A CN 102763199 A CN102763199 A CN 102763199A
Authority
CN
China
Prior art keywords
assembly
gas
nozzle
annular gap
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180009422XA
Other languages
English (en)
Other versions
CN102763199B (zh
Inventor
斯坦利·德特玛
布里恩·T·韦斯特
罗纳德·维恩·肖尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102763199A publication Critical patent/CN102763199A/zh
Application granted granted Critical
Publication of CN102763199B publication Critical patent/CN102763199B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的具体实施例大体上提供等离子体蚀刻制程腔室的改良。提供了一种改良的气体注射喷嘴,其可于腔室盖体的中央位置处使用。气体注射喷嘴可用于现有等离子体蚀刻腔室中,并经配置以提供一连串的圆锥状气体流遍及布置于腔室内之基材的表面。在一个具体实施例中,提供了一种用于等离子体蚀刻腔室中的改良的排放套件。排放套件包括可用于现有等离子体蚀刻腔室中的设备,并经配置以提供来自腔室的处理区域的环状排放气体流。

Description

处理腔室之气流改良
技术领域
本发明的具体实施例大体上涉及半导体基材处理装备。具体而言,本发明涉及用以改良处理腔室内的制程气体流的设备及方法。
背景技术
超大型积体(Ultra Large Scale Integrated Circuit,ULSI)电路可在诸如硅(Si)基材等半导体基材上,形成超过一百万个电子装置(例如,晶体管),并相互合作执行多种功能。ULSI电路中使用的电子装置的实例为互补金属氧化物半导体(CMOS)场效应晶体管。CMOS晶体管具有栅极结构,其包含多晶硅栅极以与门极介电层,且栅极结构设置在形成于基材中的源极与漏极区域之间。
等离子体蚀刻经常被使用于晶体管及其它电子装置的制造。然而,现今的等离子体处理腔室在制程气体的注射、流动以及排放上存在缺陷。举例来说,现有技术的等离子体蚀刻腔室提供围绕着腔室周围的多个气体注射喷嘴,以及位于腔室一侧上的大型排放端口。气体注射及排放的上述现有技术配置导致遍及待处理基材表面的不对称制程气体流、基材的不均匀蚀刻、制程气体的无效率使用、以及最终的产量损失。
因此,有需要对等离子体蚀刻腔室进行改良,以提供更有效率的制程气体使用,并改善基材处理的均匀度。
发明内容
在本发明之一个具体实施例中,使用于处理腔室中的套件包含:气体注射喷嘴,经配置以于遍及基材的表面的多个同心的圆锥状气体流中分布制程气体,基材布置在处理腔室内的基材支撑件上;环状流量控制组件,经配置以相对制程区域同心地布置在处理腔室中,以分隔制程区域与环状排放区域,致使耦接至处理腔室的一个或多个真空泵从基材支撑件周围将气体抽取进入环状排放区域。
在另一具体实施例中,制程气体注射喷嘴包含:支持组件;第一管体,耦接至支持组件;第二管体,经同心地布置于第一管体内,以于第二管体与第一管体之间形成第一环状流体通道;以及第一分散组件,耦接至第二管体,致使第一环状间隙设置于第一管体与第一分散组件之间。
在本发明的又一具体实施例中,制程气体注射喷嘴包含:喷嘴主体,其具有穿过其中的多个气体通道;多个分散组件,经排列以提供多个环状间隙;以及多个衬垫组件,具有形成于其中的一个或多个狭缝,经布置以将气体通道流动地耦接环状间隙。
附图说明
为使本发明的上述特征被更清楚地了解,已参照具体实施例来更具体地说明以上所简述的发明,其中部分具体实施例在附图中示出。然而,需注意的是,附图仅为说明本发明的典型具体实施例,而非用于限制其范围,本发明也允许其它等效具体实施例。
图1为现有技术的等离子体蚀刻处理腔室的概要剖面图。
图2为根据本发明的某些具体实施例的制程腔室的概要剖面图。
图3为根据本发明的一个具体实施例的用于图2的制程腔室中的气体分布喷嘴的概要剖面图。
图4为根据本发明的另一具体实施例的气体分布喷嘴的概要、等距、展开图。
具体实施方式
本发明的具体实施例大体上提供了等离子体蚀刻制程腔室改良。提供了经改良的气体注射喷嘴,以在腔室的盖体的中心位置处使用。气体注射喷嘴可用于现有的等离子体蚀刻腔室中,并经配置以提供一连串的圆锥状气体流遍及布置的腔室内的基材的表面。在一个具体实施例中,提供经改良的排放套件,以于等离子体蚀刻腔室中使用。排放套件包括可用于现有的等离子体蚀刻腔室中的设备,并经配置以从腔室的处理区域提供环状的排放气体流。本发明的具体实施例使用气体注射及/或排放的改良来提供更一致的处理气体流遍及晶圆表面,实现更一致的蚀刻制程。此外,可通过本发明的具体实施例来达成制程气体的更有效使用。
图1为现有技术的等离子体蚀刻处理腔室100的概要剖面图。制程腔室100具有腔室本体,其具有侧壁106、底部108以及圆顶型盖体112经配置以部分封闭制程区域110。可于制程腔室100内置中提供基材支撑件114,使得提供于其上的基材101布置于制程区域110内。可提供控制器130来控制制程腔室100的多个方面。
可于接近制程腔室100的盖体112处提供一个或多个天线或线圈164。线圈164经过匹配电路168耦接至RF功率源166。于制程腔室100内,施加至线圈164的功率感应耦合制程气体,以形成等离子体。一个或多个偏压功率源172经过匹配电路174耦接至基材支撑件114,以于处理期间偏压基材101。
可通过于外周设置于侧壁106中的多个侧面注射喷嘴162以及设置于盖体112中的上方气体分布喷嘴160,从一个或多个气体源102提供制程气体进入制程腔室100的制程区域110。排放端口122位于制程腔室100一侧并耦接至真空泵104。设置于排放端口122附近的节流阀124可用以连结真空泵104,以控制制程区域110中的压力。
气体流路径“P1”在图1中示出,以示出现有技术处理腔室100中的典型气体流。可以看出,因上方气体分布喷嘴160、侧面注射喷嘴162以及排放端口122的配置及位置的缘故,大部分的制程气体流被导引离开制程腔室100而非均匀地分布遍及基材101。事实上,已经发现,现有技术制程腔室100内高达95%的所有离子化气体被直接抽取离开腔室100而未接触基材101。因此,现有技术制程腔室100中的气体注射及排放配置造成制程气体的无效率使用,也造成基材101的不均匀蚀刻。
图2为根据本发明的某些具体实施例的制程腔室200的概要剖面图。类似于现有技术制程腔室100,制程腔室200具有腔室本体,其具有侧壁106、底部108以及圆顶型盖体112经配置以部分封闭制程区域110。可在制程腔室100内置中提供基材支撑件114,使得提供于其上的基材101布置在制程区域110内。可通过主干216支撑基材支撑件114。主干216可耦接至致动器,如马达215,其用于基材支撑件114的垂直移动。控制器230可耦接至马达215及一个或多个动作控制感应器(未示出),以于处理之前、之中或之后提供对基材支撑件的垂直移动的控制。
控制器230总体包括内存232、CPU 234以及支持电路236。CPU 234可为任何形式的一种计算机处理器,其可用在供控制多种腔室及制程所用的工业设备中。支持电路236耦接至CPU 234,以通常方式支持处理器。这类电路包括高速缓存、电源供应、频率电路、输入/输出电路、次系统,等等。内存232耦接至CPU 234。内存232,或计算机可读取媒体,可为诸如本地或远程的随机存取内存(RAM)、只读存储器(ROM)或任何其它形式的数字储存器等的容易获得的内存之一或多者。供进行制程所用的指令可储存于内存232。当由控制器230执行时,指令可使得处理腔室200执行制程,如等离子体蚀刻制程。
在一个具体实施例中,控制器230可通过马达215、主干216以及基材支撑件114,于处理期间协调基材101的垂直移动。在一个具体实施例中,控制器230可以某种方式协调基材101的垂直移动,使得等离子体特征、制程材料流动型态以及排放型态等小变化可得到补偿或调整以达成期望的蚀刻型态。在一个实例中,控制器230可搭配制程配方(processrecipe)的变化或其它处理参数来协调基材101的垂直移动,如材料流动、材料供应型态、材料类型、RF及DC场斜上(ramping)或脉冲、腔室200的温度、基材支撑件114的温度,等等。
就制程腔室100而言,可于制程腔室200的盖体112附近提供一个或多个天线或线圈164。线圈164经过匹配电路168耦接至RF功率源166。施加至线圈164的功率可感应地耦合制程腔室100内的制程气体,以形成等离子体。一个或多个偏压功率源172经过匹配电路174耦接至基材支撑件114,以于处理期间偏压基材101。可通过控制器230提供对RF功率源166及偏压功率源172的控制。
在一个具体实施例中,可自一个或多个气体源202经过气体分布喷嘴260提供制程气体,气体分布喷嘴260置中定位于圆顶型盖体112内。在一个具体实施例中,气体分布喷嘴260定位于制程腔室200内的位置,与上方气体分布喷嘴160定位于制程腔室100内的位置相同。因此,可以气体分布喷嘴260来翻新制程腔室100。然而,气体分布喷嘴260包括对气体分布喷嘴160的数个改良。在一个具体实施例中,气体分布喷嘴260包括配置于其中的多个特征结构265,以提供制程气体平均分布遍及基材101的面。特征结构265可包括一个或多个可控制的气体入口以及供各个气体入口所用的一个或多个特定形状的气体出口。气体出口可包括通孔状、环状外型之喷嘴、流动导引型态,等等。在一个具体实施例中,经过个别入口及出口的气体流可通过质量流控制器295进行控制,以平衡或调控各别的气体流。在另一个具体实施例中,可通过一个或多个由控制器230控制的马达,来自动调整或调控特征结构265的位置。于某些具体实施例中,可于处理循环期间控制特征结构265的调控,以将声波能量(acoustic energy)以及塑型压力脉冲(shaped pressure pulse)赋予制程气体流,使能更大地控制制程腔室200中的基材101上所进行的特定制程。
在一个具体实施例中,气体分布喷嘴260利用Coanda效应,通过喷嘴260中的一个或多个环状通孔注射气体。在这样的具体实施例中,气体流沿着喷嘴260表面的预定路径,直到其受力而自喷嘴260表面以期望角度分开。在一个具体实施例中,可将表现Coanda效应的多个特征结构265利用来创造一连串的圆锥状气体流,其专门用来供应平均的气体流至基材101的表面。此外,喷嘴260内的特征结构265可经配置,以造成气体流在传送至基材101表面的期间旋转并混合。随后将就图3及图4来描述气体分布喷嘴260的特定实例。
在一个具体实施例中,类似图1中的制程腔室100,排放端口122位于制程腔室200一侧上并耦接至真空泵104。设置于排放端口122附近的节流阀124协同真空泵104控制制程区域110中的压力。在一个具体实施例中,限制组件290布置于通往排放端口122的侧面入口中。限制组件290可经配置以限制或防止气体流通过侧面入口流至排放端口122。在一个具体实施例中,相同的真空泵104及节流阀124,或一个或多个额外的真空泵104及/或节流阀124,流体连通多个侧面排放端口262,侧面排放端口262设置在围绕着腔室200周围的侧壁106中。在一个具体实施例中,制程腔室200中的排放端口262的位置与制程腔室100中的侧面气体注射喷嘴162的位置重合。因此,可以排放端口262取代侧面气体注射喷嘴162来翻新腔室100。
在一个具体实施例中,环状流量控制组件280设置于制程腔室200内,以分隔制程区域110及排放区域250。环状流量控制组件280可经配置以从侧壁106的上部区域延伸至制程腔室200的基材支撑件114与底部108之间的区域。因此,制程腔室200的制程区域110比现有技术腔室100的制程区域110更圆滑也更一致,于制程区域110中造成更集中的等离子体,且使得比现有技术腔室100中可能产生更高的离子碰撞及更高的等离子体密度。
在一个具体实施例中,环状流量控制组件280基本上为具有中央开口281的碗形组件,中央开口281具有的直径稍大于基材支撑件114的直径,例如大于200mm。环状流量控制组件280可具有上方凸出部283,上方凸出部283从上方唇部284向下延伸至下方凹陷部285。下方凹陷部285可延伸至界定开口281的下方唇部286。
在一个具体实施例中,环状流量控制组件280包括位在其上方周围处的一个或多个连接特征结构282,用以附接至侧壁106的上方部分。因此,可用环状流量控制组件280翻新制程腔室100。在一个具体实施例中,流量控制组件280是一个连续的固体件。在一个具体实施例中,流量控制组件280具有穿过其形成的多个通孔或穿孔,供专门的气体流穿过。在一个具体实施例中,流量控制组件280可由金属材料制成,例如铝或不锈钢。于另一具体实施例中,流量控制组件280可由陶瓷或聚合物材料制作,其可抵抗制程腔室200中进行的等离子体蚀刻制程。在一个具体实施例中,流量控制组件280可通过一个或多个间隔物272与腔室200的侧壁106及/或底部108分隔开来。
气体流路径“P2”在图2中示出,以示出制程腔室200中的改良气体流。如图中所示,因气体分布喷嘴260及流量控制组件280的配置与定位的原因,气体流可平均分布于基材101的表面上,并向下抽至基材支撑件114的周围与流量控制组件280之间。在离开基材101的表面之后,可将气体流抽至流量控制组件280及制程腔室200的底部108之间。接着通过排放端口262及/或122将气体抽离制程腔室200。
改良的气体流路径“P2”造成制程气体应用效能以及RF功率效能的显著增加。如此较大的效能也减少加热制程腔室200,造成改良的效能以及减少的流出物。进而,通过经由腔室200的下方区域抽取排放制程气体,可抑制或防止处理等离子体到达排放端口262及/或122,因而压制那些区块中的沉积物形成,也减少清洁腔室200所需的时间,并降低微粒于基材101的表面上发展的可能性。
在一个具体实施例中,可于套件中提供气体分布喷嘴260及环状流量控制组件280,与必要的硬件一起用来翻新等离子体蚀刻腔室,如腔室100。在一个具体实施例中,套件可进一步包括限制组件290。在一个具体实施例中,套件可包括必要的硬件以及管,以使注射喷嘴162转变为排放端口262。
图3为根据本发明的一个具体实施例的用于制程腔室200中的气体分布喷嘴300的概要剖面图。在图3中示出的具体实施例包括多个不同长度之嵌套管体,以提供多个供制程气体所用的流动间隙,造成重叠的圆锥状气体流“P3”在图2中示出的制程腔室200中平均地分布遍及基材101。
在一个具体实施例中,气体分布喷嘴300包括支持组件302,其可经配置以附接至一个或多个制程气体入口管体。气体分布喷嘴300进一步包括外侧管304接附至支持组件302。在一个具体实施例中,第一中间管306同心地布置于外侧管304内并配合支持组件302,致使第一中间管306的外侧表面与外侧管304的内侧表面之间可界定外侧环状流体通道308。第一分散组件310经配置以配合第一中间管306的下方端,在第一分散组件310与外侧管304的下方端之间留下外侧环状间隙312,致使外侧环状间隙312流体连通外侧环状流体通道308。第一分散组件310可为可移动地配合第一中间管306(例如,螺钉连接),致使外侧环状间隙312成为可调整的,以调整穿过其而分散的制程气体流。在一个具体实施例中,第一分散组件310牢固地附接至第一中间管306,以维持制程气体流的间隔。在另一个具体实施例中,第一分散组件包括等距间隔于其上而呈饼图案的多个凸起,以配合外侧管304并维持制程气体流的间隔。
在一个具体实施例中,第二中间管314同心地布置于第一中间管306内并配合支持组件302,使得第二中间管314的外侧表面及第一中间管306的内侧表面界定中间环状流体通道316。第二分散组件318经配置以配合第二中间管314的下方端。可于第一分散组件310内设置一个或多个孔洞320,其流体连通中间环状流体通道316。孔洞328可围绕着第一分散组件310的周围等距间隔。第二分散组件318可为可移动地配合第二中间管314(例如,螺钉连接),致使中间环状间隙321,其也流体连通中间环状流体通道316,形成于第二分散组件318与第一分散组件310之间。中间环状间隙321可成为可调整的,以使用第二分散组件318与第二中间管314之间的可动性配合,来调整穿过其而分散的制程气体流。在一个具体实施例中,第二分散组件318附接至第二中间管314,以维持制程气体流的间隔。于另一具体实施例中,第二分散组件318包括自其向上延伸的多个凸起,以配合第一分散组件310并维持制程气体流的间隔。
在一个具体实施例中,内侧管322同心地布置于第二中间管314内,并配合支持组件302,致使内侧环状流体通道324由内侧管322的外侧表面及第二中间管314的内侧表面所定义。第三分散组件326经配置以配合内侧管322的下方端。可于第二分散组件318内设置一个或多个孔洞328,其流体连通内侧环状流体通道324。孔洞328可围绕着第二分散组件318的周围等距间隔。第三分散组件326可为可移动地配合内侧管322(例如,螺钉连接),致使内侧环状间隙329形成于第三分散组件326与第二分散组件318之间,内侧环状间隙329也流体连通内侧环状流体通道324。内侧环状间隙329可成为可调整的,以使用第三分散组件326及内侧管322之间的可动性配合,来调整穿过其而分散的制程气体流。在一个具体实施例中,第三分散组件326接附至内侧管322,以维持气体流的间隔。在另一个具体实施例中,第三分散组件326包括自其向上延伸的多个凸起,以配合第二分散组件318并维持制程气体流的间隔。
在一个具体实施例中,中央杆体330或螺栓可配合支持组件302以及第三分散组件326,以将气体分布喷嘴300的个别部件固定在一起。在一个具体实施例中,可使中央杆体330伸展或缩回,以调整外侧环状间隙312、中间环状间隙321以及内侧环状间隙329,由此调整通过气体分布喷嘴300分散的制程气体的流动型态(flow pattern)。可通过如螺纹连接(threaded connection)来手动调整中央杆体330及/或间隙312、321、329。或者,可通过诸如线性推进器或压电马达等一个或多个致动器自动调整,并通过控制器230进行控制。在一个具体实施例中,可通过改变外侧管304、第一中间管306、第二中间管314以及内侧管322的长度,来分别调整外侧环状间隙312、中间环状间隙321以及内侧环状间隙329。此外,也可调整管体直径以及壁厚度,以提供期望的容积给外侧环状流体通道308、中间环状流体通道316以及内侧环状流体通道324。气体分布喷嘴300的各个组件可包含能抵抗用于期望应用中的特定制程气体的材料,如陶瓷材料(例如,Al203、SiC、SiN)、金属材料(例如,阳极化铝、不锈钢、镍),或阻性聚合材料。
支持组件302可具有穿过其中形成的多个通孔332,用以容许来自一个或多个制程气体入口管体的制程气体经过支持组件302而通过,并进入气体分布喷嘴300中之期望的流体通道。通孔332可经配置以从单一气体入口传送制程气体进入各个单独的流体通道,即,外侧环状流体通道308、中间环状流体通道316以及内侧环状流体通道324。通孔332可经配置以分别自单独的入口管传送制程气体至各个流体通道。举例来说,第一入口管可连接至外侧环状流体通道308,且第二入口管可连接至中间环状流体通道316,且第三入口管可连接至内侧环状流体通道324。在一个具体实施例中,各个入口管连接至单独的气体源。在一个具体实施例中,为了单独调整流经气体分布喷嘴300中的各环状间隙的流体,各个入口管透过单独的质量流控制器连接至单一制程气体源。
应注意的是,虽然与图3相关联而示出及描述的气体分布喷嘴300针对三个同心分散间隙来配置,但可将管及分散组件增加至组件或自组件去掉管及分散组件,以根据需求获得较大或较小数目的分散间隙。结果,气体分布喷嘴300可达成重叠的圆锥状气体流的任何期望配置,而重叠的圆锥状气体流可均匀地分布遍及图2中所描绘的制程腔室200中的基材101。
图4为根据本发明的另一具体实施例的气体分布喷嘴400的概要、等距、展开图。图4中示出的具体实施例包括多个交集的衬垫,这些衬垫经配置以选择性地导引制程气体流通过多个环状间隙,以提供重叠的圆锥状气体流(类似图3中的气体流“P3”)于图2中示出的制程腔室200中平均地分布遍及基材101。
在一个具体实施例中,气体分布喷嘴400包括附接至喷嘴主体404的支持组件402。支持组件402及喷嘴主体404二者皆具有穿过其中而设置的多个对齐的通孔406、407,以容许一种或多种制程气体穿过其中而通过。支持组件402可经配置以耦接至一个或多个制程气体入口管体,以提供制程气体供应至气体分布喷嘴400。
第一衬垫408可布置于喷嘴主体404与第一分散组件412之间。第一衬垫408及第一分散组件412两者皆具有穿过其中形成的多个通孔409、411,通孔409、411与穿过喷嘴主体404而形成的多个通孔407对齐。第一分散组件412配合喷嘴主体404,以在第一分散组件412与喷嘴主体404之间提供第一环状间隙414。第一衬垫408进一步包括穿过其形成的一个或多个狭缝410,且狭缝410选择性地与喷嘴主体404中的一个或多个通孔406对齐。一个或多个狭缝410通往第一衬垫408的边缘,以容许制程气体自一个或多个通孔406通过并经过第一环状间隙414。结果,制程气体可沿着路径“P4”自气体入口管流动经过支持组件402及喷嘴主体404,以经过如图4所示的第一环状间隙414分散。
第二衬垫416可布置于第一分散组件412与第二分散组件420之间。第二衬垫416及第二分散组件420二者皆具有穿过其中形成的多个通孔417、419,通孔417、419与穿过第一分散组件412而形成的多个通孔411对齐。第二分散组件420配合第一分散组件412,以在第二分散组件420与第一分散组件412之间提供第二环状间隙422。第二衬垫416进一步包括穿过其形成的一个或多个狭缝418,且狭缝418选择性地与穿过第一分散组件412形成的一个或多个通孔411对齐。一个或多个狭缝418通往第二衬垫416的边缘,以容许制程气体自一个或多个通孔411通过并经过第二环状间隙422。结果,制程气体可沿着路径“P5”自气体入口管,通过支持组件402、喷嘴主体404、第一衬垫408以及第一分散组件412,以通过图4中所示的第二环状间隙422分散。
在一个具体实施例中,第三衬垫424布置于第二分散组件420与第三分散组件428之间。第三衬垫424具有穿透其形成的多个通孔425,其与穿透第二分散组件420形成的多个通孔417对齐。第三分散组件428配合第二分散组件420,使得第三环状间隙430被提供于第三分散组件428与第二分散组件420之间。第三衬垫424进一步包括穿过其形成的一个或多个狭缝426,且一个或多个狭缝426选择性地与穿过第二分散组件420而形成的一个或多个通孔417对齐。一个或多个狭缝426通往第三衬垫424的边缘,以容许制程气体自一个或多个通孔417通过并经过第三环状间隙430。结果,制程气体可沿着路径“P6”自气体入口管,通过支持组件402、喷嘴主体404、第一衬垫408、第一分散组件412、第二衬垫416以及第二分散组件420,以通过图4中所示出的第三环状间隙430分散。
中央杆体432或螺栓可配合支持组件402以及第三分散组件428,以将气体分布喷嘴400的个别部件固定在一起。注意,为清晰起见,中央杆体432以假想方式示于图4中。分散组件412、420、428及/或衬垫408、416、424中一者或多者可附接至中央杆体432,致使当中央杆体432旋转时,通孔之一或多者重叠以改变角度,造成对穿过其中的制程气体流的调整。在一个具体实施例中,可手动调整中央杆体432以及通过气体分布喷嘴400的制程气体流。或者,可通过诸如马达等一个或多个致动器自动调整,并通过控制器230进行控制。可改变多个通孔的尺寸及数目或多个衬垫的厚度,以提供期望的气体流。气体分布喷嘴400的各个组件可包含能抵抗用于期望应用中之特定制程气体的材料,如陶瓷材料(例如,Al2O3、SiC、SiN)、金属材料(例如,阳极化铝、不锈钢、镍),或阻性聚合材料。
应注意的是,虽然与图4相关联而描绘及描述的气体分布喷嘴400针对三个同心分散间隙来配置,但可将衬垫及分散组件增加至组件或自组件去掉衬垫及分散组件,以根据需求获得较大或较小数目的分散间隙。结果,气体分布喷嘴400可达成重叠的圆锥状气体流的任何期望配置,而重叠的圆锥状气体流可均匀地分布遍及图2中所描绘之制程腔室200中的基材101。
因此,本发明的具体实施例大体提供了等离子体蚀刻制程腔室改良。在一个具体实施例中,于腔室的盖体的中心位置处提供经改良的气体注射喷嘴。气体注射喷嘴可用于现有的等离子体蚀刻腔室中,并经配置以提供一连串的圆锥状气体流遍及布置于腔室内之基材的表面。在一个具体实施例中,提供了经改良的排放构造。排放构造包括可用于现有的等离子体蚀刻腔室中的设备,并经配置以自腔室的处理区域提供环状的排放气体流。本发明的具体实施例使用气体注射及/或排放的改良来提供更一致的处理气体流遍及晶圆表面,造成更一致的蚀刻制程。此外,可以本发明的具体实施例来实现制程气体得更有效使用。
尽管以上内容针对本发明的具体实施例,但是可在不脱离本发明的基本范围的情况下设计本发明的其它及进一步的具体实施例,且其范围由所附权利要求决定。

Claims (15)

1.一种在处理腔室中使用的套件,其包含:
气体注射喷嘴,其具有多个可调整的堆叠式环状气体输送间隙;以及
环状流量控制组件,具有上方凸出部,该上方凸出部向下延伸进入下方凹陷部,其中该环状流量控制组件具有延伸穿过其中的开口,该开口具有大于约200mm的直径。
2.如权利要求1所述的套件,其中该气体注射喷嘴包含:
多个管体,经同心地排列以提供穿过其中的多个环状气体通道;以及
多个分散组件,经排列以提供该多个环状间隙,该多个环状间隙流体连通该多个气体通道。
3.如权利要求2所述的套件,其中该多个分散组件的至少一者可动地配合该多个管体的至少一者。
4.如权利要求2所述的套件,其中该多个分散组件的至少一者具有穿过其中的一个或多个孔洞,该一个或多个孔洞流体连通该多个环状气体通道的至少一者。
5.如权利要求1所述的套件,其中该气体注射喷嘴包含:
一喷嘴主体,具有穿过其中的多个气体通道;
多个分散组件,经排列以提供该多个环状间隙;以及
多个衬垫组件,具有形成于其中的一个或多个狭缝,被布置以将所述气体通道流动地耦接所述环状间隙。
6.如权利要求5所述的套件,其中所述衬垫的至少一者布置于所述分散组件的至少两者之间。
7.如权利要求6所述的套件,其中该气体注射喷嘴还包括穿过其中而设置的中央杆体,该中央杆体还耦接至该多个衬垫,其中通过转动该中央杆体来调整流经该气体注射喷嘴的气体。
8.一种制程气体注射喷嘴,包含:
支持组件;
第一管体,耦接至该支持组件;
第二管体,被同心地布置于该第一管体内,以在该第二管体与该第一管体之间形成第一环状流体通道;以及
第一分散组件,耦接至该第二管体,使得第一环状间隙设置于该第一管体与该第一分散组件之间。
9.如权利要求8所述的喷嘴,其中该第一环状间隙为可调整的。
10.如权利要求9所述的喷嘴,还包括:
第三管体,被同心地布置于该第二管体内,以在该第三管体与该第二管体之间形成一第二环状流体通道;以及
第二分散组件,耦接至该第二管体,其中
第二环状间隙形成于该第一分散组件与该第二分散组件之间,其中该第二环状间隙与该第二环状流体通道流体连通,并且其中该第二环状间隙为可调整的。
11.如权利要求10所述的喷嘴,还包括:
第四管体,被同心地布置在该第三管体内,以在该第四管体与该第三管体之间形成第三环状流体通道;以及
第三分散组件,耦接至该第三管体,其中
第三环状间隙形成于该第二分散组件与该第三分散组件之间,其中该第三环状间隙流体连通该第三环状流体通道,并且其中
该第三环状间隙为可调整的。
12.一种制程气体注射喷嘴,包含:
喷嘴主体,具有穿过其中的多个气体通道;
多个分散组件,经排列以提供多个环状间隙;以及
多个衬垫组件,具有形成于其中的一个或多个狭缝,经布置以将所述气体通道流动地耦接所述环状间隙。
13.如权利要求12所述的喷嘴,其中所述衬垫的至少一者布置于所述分散组件的至少两者之间。
14.如权利要求13所述的喷嘴,其中该气体注射喷嘴还包括穿过其中而设置的中央杆体,该中央杆体还耦接至该多个衬垫。
15.如权利要求14所述的喷嘴,其中通过转动该中央杆体来调整流经该气体注射喷嘴的气体。
CN201180009422.XA 2010-02-12 2011-02-09 处理腔室之气流改良 Active CN102763199B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US30407310P 2010-02-12 2010-02-12
US61/304,073 2010-02-12
PCT/US2011/024153 WO2011100293A2 (en) 2010-02-12 2011-02-09 Process chamber gas flow improvements

Publications (2)

Publication Number Publication Date
CN102763199A true CN102763199A (zh) 2012-10-31
CN102763199B CN102763199B (zh) 2016-01-20

Family

ID=44368408

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180009422.XA Active CN102763199B (zh) 2010-02-12 2011-02-09 处理腔室之气流改良

Country Status (5)

Country Link
US (2) US8828182B2 (zh)
KR (1) KR101603176B1 (zh)
CN (1) CN102763199B (zh)
TW (1) TWI539517B (zh)
WO (1) WO2011100293A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107610996A (zh) * 2016-07-11 2018-01-19 朗姆研究公司 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN107833848A (zh) * 2013-04-30 2018-03-23 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN107993914A (zh) * 2016-10-26 2018-05-04 中微半导体设备(上海)有限公司 气体流量调节装置及其调节方法
CN110600356A (zh) * 2018-06-13 2019-12-20 台湾积体电路制造股份有限公司 等离子体设备、半导体制造方法以及气体输送源
CN111279453A (zh) * 2017-08-25 2020-06-12 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
CN112017932A (zh) * 2019-05-31 2020-12-01 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
US11078568B2 (en) 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
CN114242551A (zh) * 2020-09-09 2022-03-25 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
US11492705B2 (en) 2019-07-04 2022-11-08 Applied Materials, Inc. Isolator apparatus and methods for substrate processing chambers
CN113658844B (zh) * 2016-07-11 2024-05-28 朗姆研究公司 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
US20120145701A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Electrical resistance heater and heater assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5819154B2 (ja) * 2011-10-06 2015-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103227090B (zh) * 2013-02-04 2016-04-06 深圳市劲拓自动化设备股份有限公司 一种线性等离子体源
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10344380B2 (en) 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9741575B2 (en) * 2014-03-10 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with gas delivery ring
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015146362A1 (ja) * 2014-03-26 2015-10-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および炉口部カバー
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
JP6746209B2 (ja) * 2016-08-31 2020-08-26 株式会社ディスコ プラズマエッチング装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN112713073B (zh) 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种耐腐蚀气体输送部件及其等离子体处理装置
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN115362538A (zh) * 2020-04-06 2022-11-18 朗姆研究公司 气体注射器的陶瓷增材制造技术
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow
JP7358654B2 (ja) * 2020-09-18 2023-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7160421B1 (ja) 2022-02-10 2022-10-25 株式会社シー・ヴィ・リサーチ 成膜装置、成膜方法及びガスノズル

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1679830A (en) * 1924-07-28 1928-08-07 Lang Adolf Liquid-fuel burner
KR20060096713A (ko) * 2005-03-02 2006-09-13 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
CN1846871A (zh) * 2005-12-09 2006-10-18 北京北方微电子基地设备工艺研究中心有限责任公司 单进气双区可调喷嘴
CN101188189A (zh) * 2006-11-15 2008-05-28 应用材料股份有限公司 增强磁控制等离子体径向分布的约束挡板和流动均衡器
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1523382A (en) * 1918-05-17 1925-01-13 Golden State Milk Products Com Atomizing nozzle
US2551114A (en) * 1948-03-24 1951-05-01 Daniel And Florence Guggenheim Two-liquid feeding device for combustion chambers
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
FR2899313B1 (fr) * 2006-03-31 2008-05-09 Huau Christian Bernard Louis Bruleur polyvalent a flamme creuse pour hydrocarbures
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1679830A (en) * 1924-07-28 1928-08-07 Lang Adolf Liquid-fuel burner
KR20060096713A (ko) * 2005-03-02 2006-09-13 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
CN1846871A (zh) * 2005-12-09 2006-10-18 北京北方微电子基地设备工艺研究中心有限责任公司 单进气双区可调喷嘴
CN101188189A (zh) * 2006-11-15 2008-05-28 应用材料股份有限公司 增强磁控制等离子体径向分布的约束挡板和流动均衡器
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107833848A (zh) * 2013-04-30 2018-03-23 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN107610996B (zh) * 2016-07-11 2021-07-13 朗姆研究公司 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN113658844B (zh) * 2016-07-11 2024-05-28 朗姆研究公司 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN107610996A (zh) * 2016-07-11 2018-01-19 朗姆研究公司 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN113658844A (zh) * 2016-07-11 2021-11-16 朗姆研究公司 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN107993914A (zh) * 2016-10-26 2018-05-04 中微半导体设备(上海)有限公司 气体流量调节装置及其调节方法
CN107993914B (zh) * 2016-10-26 2019-09-06 中微半导体设备(上海)股份有限公司 气体流量调节装置及其调节方法
CN111279453A (zh) * 2017-08-25 2020-06-12 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
CN111279453B (zh) * 2017-08-25 2023-07-04 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
CN110600356B (zh) * 2018-06-13 2022-02-18 台湾积体电路制造股份有限公司 等离子体设备、半导体制造方法以及气体输送源
US11355318B2 (en) 2018-06-13 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11361943B2 (en) 2018-06-13 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11854769B2 (en) 2018-06-13 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
CN110600356A (zh) * 2018-06-13 2019-12-20 台湾积体电路制造股份有限公司 等离子体设备、半导体制造方法以及气体输送源
US11078568B2 (en) 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
CN112017932A (zh) * 2019-05-31 2020-12-01 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
US11492705B2 (en) 2019-07-04 2022-11-08 Applied Materials, Inc. Isolator apparatus and methods for substrate processing chambers
US11827980B2 (en) 2019-07-04 2023-11-28 Applied Materials, Inc. Isolator apparatus and methods for substrate processing chambers
CN114242551A (zh) * 2020-09-09 2022-03-25 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置

Also Published As

Publication number Publication date
TW201135839A (en) 2011-10-16
US20110198417A1 (en) 2011-08-18
WO2011100293A2 (en) 2011-08-18
KR20120139741A (ko) 2012-12-27
US20140374509A1 (en) 2014-12-25
CN102763199B (zh) 2016-01-20
US9779917B2 (en) 2017-10-03
WO2011100293A3 (en) 2011-12-15
TWI539517B (zh) 2016-06-21
KR101603176B1 (ko) 2016-03-14
US8828182B2 (en) 2014-09-09

Similar Documents

Publication Publication Date Title
CN102763199A (zh) 处理腔室之气流改良
US8236105B2 (en) Apparatus for controlling gas flow in a semiconductor substrate processing chamber
KR101696333B1 (ko) 감소된 공구 풋 프린트를 갖는, 균일한 박막 증착을 위한 평행판 반응기
CN202285227U (zh) 一种用作电容耦合等离子体处理室的部件的限定环
CN113186519B (zh) 具有导通控制的化学沉积设备
CN101546685B (zh) 等离子体处理装置和等离子体蚀刻方法
US9460893B2 (en) Substrate processing apparatus
US20080178805A1 (en) Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP7328280B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
TWI634598B (zh) Process chamber and semiconductor processing device
JP4056144B2 (ja) プラズマ処理装置
CN103184433A (zh) 气体扩散均匀化装置及使用该装置的等离子体工艺设备
JP2023507111A (ja) 高密度プラズマ化学気相堆積チャンバ
CN112074624A (zh) 用于控制中心到边缘压力改变的压力歪斜系统
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
TWI723473B (zh) 電漿沉積腔室及用於其之噴頭
CN202643920U (zh) 气体扩散均匀化装置及使用该装置的等离子体工艺设备
US20150041062A1 (en) Plasma processing chamber with removable body
US11810764B2 (en) Faceplate with edge flow control
TW202410158A (zh) 具有改良均勻性之電漿噴灑頭
KR101071269B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant