CN113658844A - 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板 - Google Patents

减少衬底处理系统再循环的轴环、锥形喷头和/或顶板 Download PDF

Info

Publication number
CN113658844A
CN113658844A CN202110696983.7A CN202110696983A CN113658844A CN 113658844 A CN113658844 A CN 113658844A CN 202110696983 A CN202110696983 A CN 202110696983A CN 113658844 A CN113658844 A CN 113658844A
Authority
CN
China
Prior art keywords
showerhead
collar
gas
processing chamber
stem
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110696983.7A
Other languages
English (en)
Other versions
CN113658844B (zh
Inventor
理查德·菲利普斯
瑞安·布拉基埃
尚卡·斯瓦米纳森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202110696983.7A priority Critical patent/CN113658844B/zh
Publication of CN113658844A publication Critical patent/CN113658844A/zh
Application granted granted Critical
Publication of CN113658844B publication Critical patent/CN113658844B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)

Abstract

本发明涉及减少衬底处理系统再循环的轴环、锥形喷头和/或顶板。衬底处理系统包括处理室和喷头,喷头包括面板、杆部和圆柱形基部。轴环将喷头连接到处理室的顶表面。轴环限定接收二次吹扫气体的气体通道和沿着径向向外且向下的方向引导来自气体通道的二次吹扫气体的多个气体缝隙。锥形表面被布置成邻近圆柱形基部并且围绕喷头的杆部。倒锥形表面邻近处理室的顶表面和侧壁设置。锥形表面和倒锥形表面限定成角度的气体通道,成角度的气体通道从多个气体缝隙到限定在圆柱形基部的径向外部与处理室的侧壁之间的间隙。

Description

减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
本申请是申请号为201710513089.5、申请日为2017年6月29日、发明名称为“减少衬底处理系统再循环的轴环、锥形喷头和/或顶板”的发明专利申请的分案申请。
技术领域
本公开涉及衬底处理系统,更具体地涉及包括用于减少再循环的轴环、锥形喷头和/或顶板的衬底处理系统。
背景技术
本文所提供的背景描述是为了总体上呈现本公开的背景。当前所冠名的发明人的工作,在该背景部分以及本说明书的在申请时可能没有资格作为现有技术的方面中所描述的程度上,既不明确地也不隐含地承认当作本公开的现有技术。
衬底处理系统可以用于在诸如半导体晶片之类的衬底上沉积膜、蚀刻膜或以其他方式处理膜。衬底处理系统通常包括处理室、诸如喷头之类的气体分配装置、和衬底支撑件。在处理期间,衬底被布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中,并且在一些处理中可以使用热或射频(RF)等离子体来激活化学反应。
处理室通常包括上表面和下表面以及侧壁。喷头通常包括限定充气室的圆柱形基部。面板设置在充气室的一侧,并且包括多个间隔开的通孔。喷头还包括中空的杆部,该杆部在一端连接到处理室的上表面,并且在另一端连接到圆柱形基部的中心。喷头的杆部将工艺气体输送到圆柱形基部的充气室。气体流过面板的间隔开的通孔并相对于布置在位于喷头下方的衬底支撑件上的衬底均匀分散。
可以使用位于杆部周围的轴环来输送帘式气体,以隔离相邻的具有枝形吊灯式喷头的处理站。轴环还可以用于将杆部连接到处理室的上表面。轴环可以包括一个或多个气体缝隙,气体缝隙在处理期间在圆柱形基部和处理室的上表面之间输送二次吹扫气体。在喷头的圆柱形基部的径向外边缘和处理室的侧壁之间限定间隙。二次吹扫气体流过轴环上的缝隙和间隙,然后通过排气口排出。喷头的对称构型可能导致二次吹扫气体的再循环。在处理期间,颗粒可能被喷头上方的再循环气体捕获,并可能导致缺陷。
发明内容
衬底处理系统包括处理室和喷头,所述喷头包括面板、杆部和圆柱形基部。轴环将所述喷头连接到所述处理室的顶表面。所述轴环限定接收二次吹扫气体的气体通道和沿着径向向外且向下的方向引导来自所述气体通道的所述二次吹扫气体的多个气体缝隙。锥形表面被布置成邻近所述圆柱形基部并围绕所述喷头的所述杆部。倒锥形表面邻近所述处理室的顶表面和侧壁设置。所述锥形表面和所述倒锥形表面限定成角度的气体通道,所述成角度的气体通道从所述多个气体缝隙到在所述圆柱形基部的径向外部部分和所述处理室的所述侧壁之间限定的间隙。
在其他特征中,所述气体通道限定流动路径,所述流动路径具有恒定宽度并且平行于从所述多个气体缝隙流动的所述二次吹扫气体的方向。所述锥形表面是中空的并且附接到所述喷头的所述杆部和所述基部中的至少一个。所述锥形表面是实心的并且附接到所述喷头的所述杆部和所述基部中的至少一个。
在其他特征中,所述锥形表面与所述喷头的所述杆部和所述基部中的至少一个成一体。所述倒锥形表面是中空的并且附接到所述处理室的所述顶表面和所述侧壁中的至少一个。所述倒锥形表面是实心的并且附接到所述处理室的所述顶表面和所述侧壁中的至少一个。
在其它特征中,所述倒锥形表面与所述处理室的所述顶表面和所述侧壁中的至少一个成一体。所述锥形表面包括用于接收所述杆部的中心开口。所述多个气体缝隙沿着所述轴环沿径向和轴向间隔开。
衬底处理系统包括处理室和喷头,所述喷头包括面板、杆部和圆柱形基部。轴环将所述喷头连接到所述处理室的顶表面。所述轴环限定气体通道并且包括径向内表面、径向外表面和多个气体缝隙。二次吹扫气体沿径向向外的方向从所述气体通道流动穿过所述气体缝隙。其中所述径向内表面限定随着距所述圆柱形基部的轴向距离减小而单调增加的内径。
在其它特征中,所述轴环的所述径向内表面和所述喷头的所述杆部限定在其间的气体通道。与所述处理室的顶表面相邻布置倒锥形表面,其中所述倒锥形表面将从所述气体缝隙流动的所述二次吹扫气体重新定向为沿着向下且向外的方向流动。
在其他特征中,间隔件围绕所述杆部布置以保持所述轴环相对于所述杆部的位置。所述间隔件包括邻近所述圆柱形基部布置的环形基部和向上延伸以使所述轴环的所述径向内表面偏置的多个臂。
在其他特征中,所述多个气体缝隙沿着轴环沿径向和轴向间隔开。
具体而言,本发明的一些方面可以阐述如下:
1.一种衬底处理系统,其包括:
处理室;
喷头,其包括面板、杆部和圆柱形基部;
轴环,其将所述喷头连接到所述处理室的顶表面,
其中所述轴环限定接收二次吹扫气体的气体通道和沿着径向向外且向下的方向引导来自所述气体通道的所述二次吹扫气体的多个气体缝隙;
锥形表面,其被布置成邻近所述圆柱形基部并围绕所述喷头的所述杆部;以及
倒锥形表面,其与所述处理室的顶表面和侧壁相邻布置,
其中所述锥形表面和所述倒锥形表面限定成角度的气体通道,所述成角度的气体通道从所述多个气体缝隙到在所述圆柱形基部的径向外部部分和所述处理室的所述侧壁之间限定的间隙。
2.根据条款1所述的衬底处理系统,其中所述气体通道限定流动路径,所述流动路径具有恒定宽度并且平行于从所述多个气体缝隙流动的所述二次吹扫气体的方向。
3.根据条款1所述的衬底处理系统,其中所述锥形表面是中空的并且附接到所述喷头的所述杆部和所述基部中的至少一个。
4.根据条款1所述的衬底处理系统,其中,所述锥形表面是实心的并且附接到所述喷头的所述杆部和所述基部中的至少一个。
5.根据条款1所述的衬底处理系统,其中所述锥形表面与所述喷头的所述杆部和所述基部中的至少一个成一体。
6.根据条款1所述的衬底处理系统,其中所述倒锥形表面是中空的并且附接到所述处理室的所述顶表面和所述侧壁中的至少一个。
7.根据条款1所述的衬底处理系统,其中所述倒锥形表面是实心的并且附接到所述处理室的所述顶表面和所述侧壁中的至少一个。
8.根据条款1所述的衬底处理系统,其中所述倒锥形表面与所述处理室的所述顶表面和所述侧壁中的至少一个成一体。
9.根据条款1所述的衬底处理系统,其中,所述锥形表面包括用于接收所述杆部的中心开口。
10.根据条款1所述的衬底处理系统,其中所述多个气体缝隙沿着所述轴环沿径向和轴向间隔开。
11.一种衬底处理系统,其包括:
处理室;
喷头,其包括面板、杆部和圆柱形基部;以及
轴环,其将所述喷头连接到所述处理室的顶表面,
其中所述轴环限定气体通道并且包括径向内表面、径向外表面和多个气体缝隙,
其中二次吹扫气体沿径向向外的方向从所述气体通道流动穿过所述气体缝隙,并且
其中所述径向内表面限定随着距所述圆柱形基部的轴向距离减小而单调增大的内径。
12.根据条款11所述的衬底处理系统,其中所述轴环的所述径向内表面和所述喷头的所述杆部限定在其间的气体通道。
13.根据条款11所述的衬底处理系统,其还包括与所述处理室的顶表面相邻布置的倒锥形表面,其中所述倒锥形表面将从所述气体缝隙流出的所述二次吹扫气体重新定向为沿着向下且向外的方向流动。
14.根据条款11所述的衬底处理系统,其还包括间隔件,所述间隔件围绕所述杆部布置,以保持所述轴环相对于所述杆部的位置。
15.根据条款14所述的衬底处理系统,其中所述间隔件包括:
与所述圆柱形基部相邻布置的环形基部;以及
多个臂,其向上延伸以使所述轴环的所述径向内表面偏置。
16.根据条款11所述的衬底处理系统,其中所述多个气体缝隙沿所述轴环沿径向和轴向方向间隔开。
根据详细描述、权利要求书和附图,本公开的其它适用范围将变得显而易见。详细描述和具体实施例仅仅是为了说明的目的,并不旨在限制本公开的范围。
附图说明
从详细描述和附图将更全面地理解本公开,其中:
图1是根据本公开的衬底处理系统的示例的功能框图;
图2是衬底处理系统的一个示例的侧面剖视图。
图3是根据本公开的衬底处理系统的示例的侧面剖视图;以及
图4是根据本公开的衬底处理系统的另一示例的侧面剖视图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
使用根据本公开的轴环(collar)、锥形喷头和/或顶板来在喷头和处理室的顶表面之间产生层流气流(laminar gas),以最小化缺陷捕获(defect-trapping)再循环区域。在一些示例中,轴环、锥形喷头和/或顶板与原子层沉积(ALD)反应器中的对称顶板结合使用,但也可以使用其他类型的反应器。
现在参考图1,衬底处理系统10包括具有上表面和下表面以及侧壁的处理室12。在一些示例中,衬底处理系统10执行ALD,但是也可以执行其他类型的衬底处理系统和/或诸如化学气相沉积(CVD)、蚀刻之类的其它工艺。诸如喷头14之类的气体分配装置设置在处理室12的内部。诸如静电卡盘、基座或其它衬底支撑件之类的衬底支撑件16布置在喷头14的下方。衬底18在处理期间布置在衬底支撑件16上。
衬底处理系统10还包括气体输送系统20,气体输送系统20包括一个或多个气体源22-1、22-2、…和22-N(统称为气体源22),其中N是大于零的整数。可以使用一个或多个阀24-1、24-2、…和24-N以及质量流量控制器26-1、26-2、…和26-N来控制从气体源22到歧管30的气体的流动和气体流速。歧管30的输出与喷头14流体连通。
控制器40和/或温度控制器42可用于控制衬底支撑件16的温度。控制器40和/或温度控制器42可执行加热和/或冷却。衬底支撑件16可以包括一个或多个电阻加热器、流体通道、热电装置(TED)或控制衬底支撑件16的一个或多个区域中的加热和/或冷却的其它装置。一个或多个传感器41,例如温度和/或压力传感器可用于感测衬底18、衬底支撑件16或处理室12的内表面或外表面的温度和/或压力值。控制器40接收传感器41的输出并基于该输出控制处理操作参数。在处理期间,控制器40还控制气体输送系统20,以便以预定间隔提供工艺气体和/或吹扫气体。
控制器40选择性地使等离子体发生器46在处理室12内产生等离子体并熄灭等离子体。控制器40控制可选的阀50和泵52以控制处理室12内的压力和/或从处理室12去除反应物。在一些示例中,泵52可以包括涡轮分子泵。
等离子体发生器46包括提供RF功率的RF源60以及将RF源60的阻抗与等离子体发生器46的输出相匹配的匹配网络64。在一些示例中,等离子体发生器46向RF喷头14输出功率,并且衬底支撑件16中的电极(未示出)接地。在其他示例中,喷头14接地,并且RF功率被输出到衬底支撑件16的电极。控制器40与等离子体发生器46连通并且控制等离子体发生器46的包括激励和熄灭等离子体在内的操作。控制器40可以使用吹扫气体源80和阀82来选择性地将二次吹扫气体(secondary purge gas)供应到轴环84,如下面进一步描述的。
现在参考图2,衬底处理系统100包括具有上表面112、侧壁114和底表面116的处理室110。轴环122包括基部124和从基部124向下延伸的杆部126。轴环122限定气体通道128。在一些示例中,气体通道限定在轴环122和喷头的杆部之间。在其他示例中,轴环122单独地限定气体通道。在一些示例中,气体通道128是环形的。入口129可以用于将诸如二次吹扫气体之类的气体源连接到气体通道128。杆部126限定一个或多个气体缝隙130,缝隙130将气体从气体通道128沿相对于处理室110的上表面112平行的方向径向向外地引导。
喷头144包括圆柱形基部146和杆部152。圆柱形基部146从杆部152径向向外延伸以相对于侧壁114限定间隙。圆柱形基部146进一步限定充气室156。杆部152可以具有限定与圆柱形基部146的充气室156流体连通的圆柱形气体通道157的中空圆柱形形状。气体分散板158可用于分散从杆部152流动到圆柱形基部146的气体。喷头144还可以包括限定多个间隔开的通孔162的面板(faceplate)160。面板160相对于位于在面板160下方的衬底支撑件168上的衬底166以相对均匀的方式分散工艺气体。
在操作期间,工艺气体被供应通过杆部152的气体通道157,到达圆柱形基部146的充气室156中。气体通过面板160的间隔开的通孔162流出充气室156。在该处理的一些部分期间,二次吹扫气体可以被供应到轴环122的气体通道128。如在169处可以看到的,二次吹扫气体的一部分流过气体通道128和气体缝隙130。气体通道128中的二次吹扫气体的其余部分向下朝向圆柱形基部146并径向向外流动,如在170处可以看到的。
位于圆柱形基部146和处理室110的上表面112之间的二次吹扫气体流过在圆柱形基部146和侧壁114之间的间隙,如在174处可以看到的。二次吹扫气体中的至少一些再循环,如在172处可以看到的。如上所述,再循环的二次吹扫气体可能捕获可能引起缺陷的颗粒。
难以调制二次吹扫气体的速度或流量来防止再循环。在佩克莱数(Pecletnumber)以上的气流阻止气体从喷头反扩散到喷头的后侧(这是二次吹扫气体的功能)。没有这种效应,(无论是否有再循环)颗粒将到达后侧。
现在参考图3,根据本公开的衬底处理系统200包括具有上表面212、侧壁214和底表面216的处理室210。尽管示出特定的等离子体处理室时,但也可以使用其它处理室。轴环222包括基部224和杆部226。轴环222包括径向外表面和径向内表面。径向内表面限定气体通道228。在一些示例中,气体通道228是环形的。入口229可以用于将诸如二次吹扫气体之类的气体源连接到气体通道228。杆部226限定一个或多个气体缝隙230,气体缝隙230将气体从气体通道228相对于与处理室210的上表面212平行的线以向下的角度引导,如下面进一步描述的。仅举例而言,气体缝隙230围绕轴环222在径向方向上间隔开。仅举例而言,气体缝隙230沿着轴环222在轴向方向上间隔开。
喷头244包括圆柱形基部246和杆部252。圆柱形基部246限定充气室256。杆部252可以具有中空圆柱形形状,其限定与圆柱形基部246的充气室256流体连通的圆柱形气体通道257。气体分散板258可以用于将从杆部252流动到圆柱形基部246的气体分散。喷头244还可以包括限定多个间隔开的通孔262的面板260。面板260相对于位于面板260下方的衬底支撑件268上的衬底266以相对均匀的方式分散气体。
锥形表面274沿着杆部252的一部分和圆柱形基部246布置。仅举例而言,锥形表面274可以是中空的(如图所示)或实心的。仅举例而言,锥形表面274可以与喷头244或附接到其上的单独的表面一体化(如图所示)。锥形表面274包括用于接收轴环222的杆部252的中心开口276。锥形表面274还包括径向外边缘278,该径向外边缘278邻近于和/或连接到圆柱形基部246的上表面。
倒锥形表面282被布置成与处理室210的上表面212和/或侧壁214相邻和/或连接。仅举例而言,可使用紧固件284。仅举例而言,倒锥形表面282可以是中空的或实心的。仅举例而言,倒锥形表面282可与顶表面212和/或侧壁214或附接到其上的单独表面一体化(如图所示)。锥形表面274和倒锥形表面282分别包括限定流动通道290的相对表面286和288。在一些示例中,流动通道290的相对表面286和288限定大致恒定的间隙,并且大致平行。在其他示例中,由相对表面286和288限定的流动通道290的角度与由从气体缝隙230流出的二次吹扫气体限定的角度大致相同。
在操作期间,工艺气体通过杆部252的圆柱形气体通道257被供应到圆柱形基部246的充气室256中。气体通过间隔开的通孔262流出充气室256。
在该处理的一些部分期间,二次吹扫气体可以被供应到轴环222的气体通道228。如在269可以看到的,二次吹扫气体流过气体通道228和气体缝隙230。如在286中可以看到,流动通道290中的二次吹扫气体通过在圆柱形基部246和侧壁214之间的间隙。可以看出,图3中的布置基本上确保二次吹扫气体的层流,这减少了再循环和缺陷。
在一些示例中,锥形表面274从圆柱形基部246的径向外边缘延伸到喷头244的杆部252的径向外边缘。在其他示例中,锥形表面274从在圆柱形基部246的径向外边缘附近的点延伸到喷头244的杆部252的径向外边缘。换句话说,可以邻近圆柱形基部246产生间隙。在其他示例中,锥形表面274从圆柱形基部246的径向外边缘延伸到靠近喷头244的杆部252的径向外边缘的点。换句话说,可以在喷头244附近产生间隙。在另外的示例中,在锥形表面274的两侧产生间隙。
在一些示例中,倒锥形表面282从侧壁214延伸到轴环222的杆部226的径向外边缘。在一些示例中,倒锥形表面282从靠近侧壁214的点延伸到轴环222的杆部226的径向外边缘。换句话说,可以在倒锥形表面282和侧壁214之间产生间隙。在一些示例中,倒锥形表面282从侧壁214延伸到靠近轴环222的杆部226的径向外边缘的点。换句话说,间隙可以在倒锥形表面282和轴环222之间产生。在另外的示例中,在倒锥形表面282的两侧上形成间隙。
现在参考图4,根据本公开的衬底处理系统300包括具有上表面312、侧壁314和底表面316的处理室310。虽然示出了特定的等离子体处理室,但是可以使用其它处理室。
轴环322包括基部324和杆部326。轴环322包括径向外表面和径向内表面。轴环322限定气体通道328。在一些示例中,气体通道328是环形的。入口329可用于将气体源(例如吹扫气体)连接到环形气体通道328。杆部326限定一个或多个气体缝隙330-1、330-2、…,330-S(统称为气体缝隙330),气体缝隙330布置在杆部326周围,引导二次吹扫气体从气体通道328通过轴环322进入处理室310。轴环322还可以限定到气体通道328的下部开口。如果使用,则二次吹扫气体也流过轴环322的下部开口。
喷头344包括圆柱形基部346和杆部352。圆柱形基部346限定充气室356。杆部352可以具有中空圆柱形形状,其限定与圆柱形基部346的充气室356流体连通的气体通道357。气体分散板358可以用于将从杆部352流动到圆柱形基部346的气体分散。喷头344还可以包括限定多个间隔开的通孔362的面板360。面板360相对于位于面板360下方的衬底支撑件368上的衬底以相对均匀的方式分散气体。
在一些示例中,轴环322的杆部326上的气体缝隙330以相对于处理室310的上表面312大致平行的方向引导来自气体通道328的气体。在一些示例中,轴环322的杆部326的内表面331具有随着距圆柱形基部346的垂直距离减小而单调增加的直径。内表面331限定在轴环322和杆部352之间的单调增加的间隙。在一些示例中,限定在相邻的气体缝隙330之间的区域332-1、332-2、…332-S、轴环322的杆部326和喷头344的杆部352大致为具有梯形横截面的环形。在一些示例中,梯形横截面的径向内角近似为直角,并且区域332的径向外表面从顶部到底部具有单调增加的直径。
倒锥形表面382被布置成与处理室310的上表面312相邻和/或连接。仅举例而言,可以使用紧固件384。倒锥形表面382包括成角度的表面386,其将水平气流重定向为沿向下的方向。在一些示例中,成角度的表面386被设置成相对于来自气体缝隙330的流动成锐角。在一些示例中,成角度的表面386被布置成相对于来自气体缝隙330的流动成介于30°至60°之间的角度。在一些示例中,成角度的表面386被布置成相对于来自气体缝隙330的流动成介于40°和50°之间的角度。在一些示例中,倒锥形表面382的径向内边缘与轴环322间隔开。在一些示例中,倒锥形表面382的径向外边缘的底部位于圆柱形基部346的上表面处或上方。
可以设置间隔件370以保持轴环322相对于喷头344的杆部352的位置。间隔件370可以包括围绕杆部352布置并且搁置在圆柱形基部346上的环形基部371。间隔件370还包括从环形基部371向上突出的两个或两个以上的臂372。臂372的上端使杆部326的内表面沿向上且向外的方向偏置。
在操作期间,工艺气体通过杆部352的气体通道357被供应到圆柱形基部346的充气室356中。气体通过间隔开的通孔362流出充气室356。
在该处理的一些部分期间,二次吹扫气体可以被供应到轴环322的气体通道328。二次吹扫气体流过气体通道328和气体缝隙330,如可以在369看到的。气流369通过倒锥形表面382的成角度的表面386朝向喷头344和侧壁314之间的间隙387重定向为大体向下的方向。二次吹扫气体的其它部分向下流出杆部326的底部并向外朝向侧壁314流动。可以理解,倒锥形表面382和轴环322的组合减少了在喷头344上方的区域中的再循环。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其它实施方式中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在…顶部”、“在…上面”、“在…下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,任何工艺包括工艺气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器或系统的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (18)

1.一种衬底处理系统中的处理室,该处理室包括:
上表面、侧壁和下表面;
喷头,所述喷头连接至所述处理室的所述上表面并从所述上表面向下延伸,其中所述喷头包括杆部和基部;以及
倒锥形表面,所述倒锥形表面被布置成邻近所述处理室的所述上表面和所述侧壁,其中所述倒锥形表面包括成角度的表面,该角度的表面被布置成将所述喷头上方的气流(i)从水平方向重定向到向下方向以及(ii)将所述喷头上方的气流重定向使得其进入所述基部的径向外部和所述处理室的所述侧壁之间的间隙中。
2.根据权利要求1所述的处理室,其中所述基部限定充气室并且所述杆部限定与所述充气室流体连通的气体通道。
3.根据权利要求1所述的处理室,其中所述喷头包括被布置成将气体分散到所述处理室中的面板。
4.根据权利要求1所述的处理室,还包括将所述喷头连接到所述处理室的所述上表面的轴环,其中所述轴环在所述轴环的径向内表面和所述喷头的杆部之间限定气体通道,并且其中所述轴环包括一个或多个缝隙,所述缝隙被布置成将来自所述气体通道的吹扫气体引导至所述喷头上方的区域。
5.根据权利要求4所述的处理室,其中,随着距所述处理室的上表面的垂直距离增加,所述径向内表面具有增加的直径。
6.根据权利要求4所述的处理室,其中,所述一个或多个缝隙在水平方向上引导吹扫气体。
7.根据权利要求5所述的处理室,其中所述成角度的表面相对于所述水平方向以锐角布置。
8.根据权利要求7所述的处理室,其中,所述成角度的表面相对于水平方向以30至60度之间的角度布置。
9.根据权利要求7所述的处理室,其中所述成角度的表面相对于所述水平方向以40至50度之间的角度布置。
10.根据权利要求4所述的处理室,其中所述倒锥形表面的径向内边缘与所述轴环间隔开。
11.根据权利要求4所述的处理室,还包括间隔件,其被布置为保持所述轴环相对于所述喷头的杆部的位置。
12.根据权利要求11所述的处理室,其中所述间隔件包括布置在所述喷头的基部上并围绕所述喷头的杆部的环形基部。
13.根据权利要求12所述的处理室,其中,所述间隔件包括从所述环形基部向上突出的两个或更多个臂,其中所述两个或更多个臂的上端在向上和向外的方向上使所述轴环偏置。
14.根据权利要求1所述的处理室,还包括将所述倒锥形表面连接到所述处理室的上表面的紧固件。
15.根据权利要求1所述的处理室,还包括锥形表面,该锥形表面布置在所述喷头的所述基部附近并且围绕所述喷头的所述杆部,其中所述锥形表面和所述倒锥形表面限定了从所述喷头的所述杆部径向向外延伸的成角度的气体通道。
16.一种用于衬底处理系统中的处理室的喷头组件,该喷头组件包括:
喷头,其被配置为连接至所述处理室的上表面并从该上表面向下延伸,其中所述喷头包括杆部和基部;
锥形表面,其被布置成邻近所述基部并围绕所述喷头的所述杆部;和
倒锥形表面,其被配置为邻近所述处理室的上表面和侧壁布置,其中所述锥形表面和所述倒锥形表面限定从所述喷头的杆部径向向外和向下延伸的成角度的气体通道。
17.根据权利要求16所述的喷头组件,还包括被配置为将所述喷头连接到所述处理室的上表面的轴环,其中所述轴环在所述轴环的径向内表面和所述喷头的杆部之间限定气体通道,并且其中,所述轴环包括一个或多个缝隙,所述缝隙布置成将吹扫气体从限定在所述轴环中的气体通道引导到限定在所述锥形表面和所述倒锥形表面之间的所述成角度的气体通道中。
18.一种处理室,其包括如权利要求16所述的喷头组件。
CN202110696983.7A 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板 Active CN113658844B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110696983.7A CN113658844B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/207,035 US10403474B2 (en) 2016-07-11 2016-07-11 Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US15/207,035 2016-07-11
CN201710513089.5A CN107610996B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN202110696983.7A CN113658844B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201710513089.5A Division CN107610996B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板

Publications (2)

Publication Number Publication Date
CN113658844A true CN113658844A (zh) 2021-11-16
CN113658844B CN113658844B (zh) 2024-05-28

Family

ID=60911046

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710513089.5A Active CN107610996B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
CN202110696983.7A Active CN113658844B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201710513089.5A Active CN107610996B (zh) 2016-07-11 2017-06-29 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板

Country Status (6)

Country Link
US (3) US10403474B2 (zh)
JP (2) JP7058953B2 (zh)
KR (2) KR102374558B1 (zh)
CN (2) CN107610996B (zh)
SG (1) SG10201705320PA (zh)
TW (1) TW201812081A (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
KR102518372B1 (ko) * 2018-03-23 2023-04-06 삼성전자주식회사 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
WO2023183009A1 (en) * 2022-03-25 2023-09-28 Lam Research Corporation Showerhead assembly and substrate processing systems for improving deposition thickness uniformity
WO2024076477A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Showerhead for diffusion bonded, multi-zone gas dispersion
CN117059466A (zh) * 2023-10-13 2023-11-14 江苏邑文微电子科技有限公司 半导体沉积设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법
CN102763199A (zh) * 2010-02-12 2012-10-31 应用材料公司 处理腔室之气流改良
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN105304525A (zh) * 2014-07-22 2016-02-03 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及记录介质
US20160035566A1 (en) * 2014-07-30 2016-02-04 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08148439A (ja) * 1994-11-15 1996-06-07 Nissin Electric Co Ltd 薄膜気相成長装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
EP1240366B1 (en) * 1999-12-22 2003-07-09 Aixtron AG Chemical vapor deposition reactor and process chamber for said reactor
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
JP3883918B2 (ja) * 2002-07-15 2007-02-21 日本エー・エス・エム株式会社 枚葉式cvd装置及び枚葉式cvd装置を用いた薄膜形成方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US20080141509A1 (en) 2006-12-19 2008-06-19 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
KR101060664B1 (ko) 2007-08-07 2011-08-31 에프에스아이 인터내쇼날 인크. 하나 이상의 처리유체로 전자소자를 처리하는 장비의 배리어 판 및 벤튜리 시스템의 세정방법 및 관련 장치
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
TWI498988B (zh) 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
KR101028408B1 (ko) * 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
JP6011074B2 (ja) * 2012-01-20 2016-10-19 富士通株式会社 電子装置の製造方法及び電子装置の製造装置
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP2013225684A (ja) 2013-06-11 2013-10-31 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US10008367B2 (en) * 2013-06-26 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Gas diffuser unit, process chamber and wafer processing method
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
TWI524388B (zh) 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP5764228B1 (ja) 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
CN102763199A (zh) * 2010-02-12 2012-10-31 应用材料公司 处理腔室之气流改良
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN105304525A (zh) * 2014-07-22 2016-02-03 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及记录介质
US20160035566A1 (en) * 2014-07-30 2016-02-04 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system

Also Published As

Publication number Publication date
US10840061B2 (en) 2020-11-17
US20220230849A1 (en) 2022-07-21
US20190385817A1 (en) 2019-12-19
US10403474B2 (en) 2019-09-03
CN107610996B (zh) 2021-07-13
US20180012733A1 (en) 2018-01-11
KR102535931B1 (ko) 2023-05-26
KR20220034099A (ko) 2022-03-17
JP7058953B2 (ja) 2022-04-25
KR102374558B1 (ko) 2022-03-14
CN107610996A (zh) 2018-01-19
CN113658844B (zh) 2024-05-28
JP2018011056A (ja) 2018-01-18
KR20180006845A (ko) 2018-01-19
JP2022095877A (ja) 2022-06-28
SG10201705320PA (en) 2018-02-27
JP7395644B2 (ja) 2023-12-11
TW201812081A (zh) 2018-04-01

Similar Documents

Publication Publication Date Title
CN107610996B (zh) 减少衬底处理系统再循环的轴环、锥形喷头和/或顶板
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
CN107017147B (zh) 包括多个注气点和双注射器的衬底处理室
US20160211165A1 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US10161034B2 (en) Rapid chamber clean using concurrent in-situ and remote plasma sources
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9679749B2 (en) Gas distribution device with actively cooled grid
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US10764966B2 (en) Laminated heater with different heater trace materials

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant