TW201812081A - 用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板 - Google Patents

用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板 Download PDF

Info

Publication number
TW201812081A
TW201812081A TW106122979A TW106122979A TW201812081A TW 201812081 A TW201812081 A TW 201812081A TW 106122979 A TW106122979 A TW 106122979A TW 106122979 A TW106122979 A TW 106122979A TW 201812081 A TW201812081 A TW 201812081A
Authority
TW
Taiwan
Prior art keywords
gas
processing system
substrate processing
conical surface
processing chamber
Prior art date
Application number
TW106122979A
Other languages
English (en)
Inventor
理查 飛利浦
萊恩 布拉基埃
珊卡 史旺明內森
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201812081A publication Critical patent/TW201812081A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)

Abstract

基板處理系統包括處理腔室以及噴淋頭,該噴淋頭包括面板、桿部以及圓柱形基部。套管將噴淋頭連接至處理腔室之上表面。套管定義用以接收二次沖洗氣體之氣體通道以及複數氣體狹縫,該氣體狹縫朝徑向向外和徑向向下的方向引導來自氣體通道的二次沖洗氣體。圓錐形表面係設置為鄰近圓柱形基座並且環繞噴淋頭的桿部。倒圓錐形表面係設置為鄰近處理腔室之上表面以及側壁。圓錐形表面和倒圓錐形表面定義從複數氣體狹縫至一間隙的具角度氣體通道,該間隙係定義於圓柱形基部之徑向外部與處理腔室之側壁之間。

Description

用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板
本揭露內容關於基板處理系統,且特別是關於包含用於減少再循環之套管、圓錐狀噴淋頭及/或頂板的基板處理系統。
在此提供的先前技術描述係為了大致呈現本揭露內容上下文之目的。此先前技術部分中所述之目前列名發明人之工作、及不可以其他方式認定為申請時之先前技術的實施態樣敘述皆不明示或暗示地承認其為針對本揭露內容之先前技術。
基板處理系統可用以沉積、蝕刻或以其他方式處理例如半導體晶圓之基板上的薄膜。基板處理系統典型地包括處理腔室、例如噴淋頭的氣體分佈裝置、及基板支撐件。在處理期間,基板係設置在基板支撐件之上。在一些製程期間,可將不同的氣體混合物引入處理腔室中,且可使用熱或者射頻(RF, Radio Frequency)電漿來活化化學反應。
處理腔室典型地包括上表面、下表面以及側壁。噴淋頭典型地包括定義氣體充氣部的圓柱形基部。面板係設置在氣體充氣部的一側,並且包括複數之間隔開的通孔。噴淋頭更包括中空桿部,其一端連接到處理腔室的上表面,且相對端連接到圓柱形基部的中心。噴淋頭的桿部將製程氣體輸送到圓柱形基座的充氣部。氣體流過面板之間隔開的通孔,並相對設置在位於噴淋頭下方之基板支撐件上的基板而均勻地散佈。
環繞桿部的套管可用以輸送氣簾(Curtain Gas)以將鄰近之處理站與枝型噴淋頭隔離。套管也可用以將桿部連接至處理腔室之上表面。套管可包括一或更多氣體狹縫,在處理期間,氣體狹縫在圓柱形基部以及處理腔室之上表面之間輸送二次沖洗氣體。間隙係定義於噴淋頭之圓柱形基部之徑向外緣與處理腔室之側壁之間。二次沖洗氣體流過套管上之氣體狹縫以及間隙,且然後透過排氣口排空。噴淋頭的對稱配置可能導致二次沖洗氣體的再循環。在處理期間,粒子可能被噴淋頭上方的再循環氣體捕獲並可能導致缺陷。
基板處理系統包括處理腔室以及噴淋頭,噴淋頭包括面板、桿部以及圓柱形基部。套管將噴淋頭連接至處理腔室的上表面。套管定義用以接收二次沖洗氣體之氣體通道以及複數氣體狹縫,該氣體狹縫朝徑向向外和徑向向下的方向引導來自氣體通道的二次沖洗氣體。圓錐形表面係設置為鄰近圓柱形基座並且環繞噴淋頭的桿部。倒圓錐形表面係設置為鄰近處理腔室之上表面以及側壁。圓錐形表面和倒圓錐形表面定義從複數氣體狹縫至一間隙的具角度氣體通道,該間隙係定義於圓柱形基部之徑向外部與處理腔室之側壁之間。
在其他實施態樣中,氣體通道定義流動路徑,該氣流路徑具有固定寬度且平行於流自複數氣體狹縫之二次沖洗氣體的方向。圓錐形表面為中空,並且附接到噴淋頭之桿部以及基部之至少一者。圓錐形表面為實心,並且附接到噴淋頭之桿部以及基部之至少一者。
在其他實施態樣中,圓錐形表面與噴淋頭之桿部以及基部之至少一者結合。倒圓錐形表面為中空,並且附接到處理腔室的上表面以及側壁之至少一者。倒圓錐形表面為實心,並且附接到處理腔室的上表面以及側壁之至少一者。
在其他實施態樣中,倒圓錐形表面與處理腔室之上表面以及側壁之至少一者結合。圓錐形表面包括用以容納桿部的中心開口。複數氣體狹縫沿著套管在徑向和軸向方向上隔開。
基板處理系統包括處理腔室以及噴淋頭,噴淋頭包括面板、桿部以及圓柱形基部。套管將噴淋頭連接至處理腔室的上表面。套管定義氣體通道,並且包括徑向內表面、徑向外表面以及複數氣體狹縫。二次沖洗氣體以徑向向外的方向從氣體通道流過氣體狹縫。徑向內表面定義出隨著到圓柱形基部的軸向距離遞減而單調遞增的內直徑。
在其他實施態樣中,套管的徑向內表面以及噴淋頭的桿部在兩者之間定義氣體通道。倒圓錐形表面係設置為鄰近處理腔室之上表面,其中,倒圓錐形表面將流自氣體狹縫的二次沖洗氣體以向下、向外的方向重新引導。
在其他實施態樣中,分隔件係設置為環繞桿部以保持套管相對於桿部的位置。分隔件包括設置為鄰近圓柱形基部的環形基部以及複數臂部,該複數臂部向上延伸以使套管之徑向內表面偏移。
在其他實施態樣中,複數氣體狹縫沿著套管在徑向和軸向上隔開。
由實施方式、申請專利範圍、及圖式,本揭露內容之進一步可應用性領域將變得顯而易見。實施方式與具體範例僅意旨於說明之目的,並非意旨限制本揭露內容的範疇。
根據本揭露內容的套管、圓錐形噴淋頭及/或頂板係用以在噴淋頭以及處理腔室的上表面之間產生層狀氣體流動,藉此最小化缺陷捕獲(defect-trapping)再循環區域。在一些範例中,套管、圓錐形噴淋頭及/或頂板係與對稱頂板結合使用在原子層沉積(ALD, Atomic Layer Deposition)反應器之中,然而亦可使用其他類型的反應器。
現參照圖1,基板處理系統10包括具有上表面、下表面以及側壁的處理腔室12。在一些範例中,基板處理系統10執行ALD,然而也可執行其他類型的基板處理系統及/或其他的製程,例如化學氣相沉積(CVD)、蝕刻等等。例如噴淋頭14的氣體分佈裝置係設置於處理腔室12之內。例如靜電卡盤、台座或者其他類型的基板支撐件的基板支撐件16係設置於噴淋頭14之下。在處理期間,基板18係設置在基板支撐件之上。
基板處理腔室10更包括氣體輸送系統20,該氣體輸送系統20包括一或更多氣體源22-1、22-2……22-N(統稱氣體源22),其中N為大於零的整數。一或更多閥24-1、24-2……24-N以及質量流量控制器26-1、26-2……26-N可用以控制從氣體源22到歧管30之間的氣體流量以及氣體流速。歧管30的輸出部係與噴淋頭14流體連通。
控制器40及/或溫度控制器42可用以控制基板支撐件16的溫度。控制器40及/或溫度控制器42可以執行加熱及/或冷卻。基板支撐件16可包括一或更多電阻加熱器、流體通道、熱電裝置(TEDs, Thermoelectric Devices)或者控制基板支撐件16之一或更多區域中的加熱及/或冷卻的其他裝置。例如溫度及/或壓力感測器的一或更多感測器41可用以感測基板18、基板支撐件16或者處理腔室12的內部表面或者外部表面的溫度值及/或壓力值。控制器40接收感測器41的輸出值,並據以控制製程操作參數。在製程期間,控制器40也控制氣體輸送系統20而以預定間隔供給製程及/或沖洗氣體。
控制器40選擇性地促使電漿產生器46在處理腔室12之內產生電漿以及熄滅電漿。控制器40控制可選的閥50以及泵浦52,藉此控制處理腔室12內的壓力及/或從處理腔室12移除反應物。在一些範例中,泵浦52可包括渦輪分子泵。
電漿產生器46包括可以供給RF電力的RF源60以及使RF源60之阻抗與電漿產生器46之輸出匹配的匹配網路64。在一些範例中,電漿產生器46輸出RF電力至噴淋頭14,而基板支撐件16中的電極(未示出)接地。在其他範例中,噴淋頭14接地,而RF電力係輸出至基板支撐件16中的電極。控制器40與電漿產生器46連通並且控制電漿產生器46的操作,包括激發和熄滅電漿。如下面將進一步描述的,沖洗氣體源80以及閥82可由控制器40使用以選擇性地供給二次沖洗氣體至套管84。
現參照圖2,基板處理系統100包括處理腔室110,該處理腔室110具有上表面112、側壁114以及下表面116。套管122包括基部124以及從基部124向下延伸的桿部126。套管122定義氣體通道128。在一些範例中,氣體通道係定義於套管122以及噴淋頭的桿部之間。在其他範例中,套管122獨自定義氣體通道。在一些範例中,氣體通道128為環形。入口129可用以將例如二次沖洗氣體之氣體源連接到氣體通道128。桿部126定義一或更多氣體狹縫130,該氣體狹縫130相對處理腔室110之上表面112朝一平行方向將氣體從氣體通道128徑向向外引導。
噴淋頭144包括圓柱形基部146以及桿部152。圓柱形基部146從桿部152徑向向外延伸,以相對側壁114定義一間隙。圓柱形基部146更定義氣體充氣部156。桿部152可具有定義圓柱形之氣體通道157的中空圓柱形狀,該氣體通道157係與圓柱形基部146的氣體充氣部156流體連通。氣體分佈板158可用以散佈從桿部152流至圓柱形基部146的氣體。噴淋頭144可更包括定義複數間隔開的通孔162之面板160。面板160相對於位在面板160下方之基板支撐件168上的基板166,以相對均勻的方式散佈製程氣體。
在操作期間,製程氣體係供給通過桿部152之氣體通道157進入圓柱形基部146的氣體充氣部156。氣體經由面板160之間隔開的通孔162流出氣體充氣部156。在製程之一些部分期間,可將二次沖洗氣體供給至套管122之氣體通道128。如在169處可見,二次沖洗氣體的一部分流過氣體通道128以及氣體狹縫130。如在170處可見,氣體通道128之中的二次沖洗氣體之剩餘部分向下朝著圓柱形基部146流動並且徑向向外流動。
如在174處可見,位於圓柱形基部146與處理腔室110之上表面112之間的二次沖洗氣體流過介於圓柱形基部146與側壁114之間的間隙。如在172處可見,至少一些二次沖洗氣體再循環。如上所述,再循環的二次沖洗氣體可能會捕獲粒子因而可能導致缺陷。
調整二次沖洗氣體的速度或者流量來防止再循環是困難的。匹列數(Peclet Number)以上的氣流防止噴淋頭的氣體向後擴散至噴頭的背側(此為二次沖洗氣體的功能)。在無此效應的情況下,粒子將到達背側(無論是否存在再循環)。
現參照圖3,根據本揭露內容的基板處理系統200包括具有上表面212、側壁214以及下表面216的處理腔室210。雖然顯示特定的電漿處理腔室,但也可使用其他處理腔室。套管222包括基部224以及桿部226,套管222包括徑向外表面以及徑向內表面。徑向內表面定義氣體通道228。在一些範例中,氣體通道228為環形。入口229可用以將例如二次沖洗氣體之氣體源連接到氣體通道228。如下面將進一步描述的,桿部226定義一或更多氣體狹縫230,氣體狹縫230相對平行於處理腔室210之上表面212之一線夾一朝下角度導引來自氣體通道228中之氣體。僅舉例而言,氣體狹縫230係環繞套管222在徑向方向上隔開。僅舉例而言,氣體狹縫230係沿著套管222在軸向方向上隔開。
噴淋頭244包括圓柱形基部246以及桿部252。圓柱形基部246定義氣體充氣部256。桿部252可具有定義圓柱形之氣體通道257的中空圓柱形狀,該氣體通道257係與圓柱形基部246的氣體充氣部256流體連通。氣體分佈板258可用以散佈從桿部252流至圓柱形基部246的氣體。噴淋頭244可更包括定義複數間隔開的通孔262之面板260。面板260相對位於面板260下方之基板支撐件268上的基板266以相對均勻的方式散佈氣體。
圓錐形表面274沿著桿部252的一部分以及圓柱形基部246而設置。僅舉例而言,圓錐形表面274可為中空(如所示)或者實心。僅舉例而言,圓錐形表面274可與噴淋頭244結合,或者可為附接至噴淋頭244的分離表面(如所示)。圓錐形表面274包括中心開口276來容納套管222之桿部252。圓錐形表面274也包括徑向外緣278,該徑向外緣278係設置為鄰近及/或連接至圓柱形基部246之上表面。
倒圓錐形表面282係設置為鄰近及/或連接至處理腔室210之上表面212及/或側壁214。僅舉例而言,可以使用緊固件284。僅舉例而言,倒圓錐形表面282可為中空或實心。僅舉例而言,倒圓錐形表面282可與上表面212及/或側壁214結合,或者可為附接至上表面212及/或側壁214的分離表面(如所示)。圓錐形表面274以及倒圓錐形表面282分別包括定義流動通道290的相對表面286以及288。在一些範例中,流動通道290之相對表面286以及288定義大致固定的間隙,且大致上為平行的。在其他範例中,由相對表面286以及288所定義之流動通道290的角度大約與由流出氣體狹縫230的二次沖洗氣體所定義之角度相同。
在操作期間,製程氣體係供給通過桿部252之圓柱形氣體通道257進入圓柱形基部246的氣體充氣部256。該氣體經由間隔開的通孔262流出氣體充氣部256。
在製程的一些部分期間,可將二次沖洗氣體供給至套管222之氣體通道228。如在箭號269處可見,二次沖洗氣體流過氣體通道228以及氣體狹縫230。如在292處可見,流動通道290中的二次沖洗氣體穿過介於圓柱形基部246以及側壁214之間的間隙。如所可見,圖3的配置確保了二次沖洗氣體實質上的層狀流動,這減少了再循環和缺陷。
在一些範例中,圓錐形表面274從圓柱形基部246之徑向外緣延伸至噴淋頭244之桿部252之徑向外緣。在其他範例中,圓錐形表面274從鄰近於圓柱形基部246之徑向外緣的點延伸至噴淋頭244之桿部252之徑向外緣。換句話說,可鄰近圓柱形基部246而產生間隙。在其他範例中,圓錐形表面274從圓柱形基部246之徑向外緣延伸至鄰近於噴淋頭244之桿部252之徑向外緣的點。換句話說,可鄰近噴淋頭244而產生間隙。在另外的範例中,間隙係產生於圓錐形表面274的兩側。
在一些範例中,倒圓錐形表面282從側壁214延伸至套管222之桿部226之徑向外緣。在一些範例中,倒圓錐形表面282從鄰近於側壁214的點延伸至套管222之桿部226之徑向外緣。換句話說,可在倒圓錐形表面282以及側壁214之間產生間隙。在一些範例中,倒圓錐形表面282從側壁214延伸至鄰近於套管222之桿部226之徑向外緣的點。換句話說,可在倒圓錐形表面282以及套管222之間產生間隙。在另外的範例中,間隙係產生於倒圓錐形表面282的兩側。
現參照圖4,根據本揭露內容的基板處理系統300包括處理腔室310,該處理腔室310具有上表面312、側壁314以及下表面316。雖然顯示特定的電漿處理腔室,但也可使用其他處理腔室。
套管322包括基部324以及桿部326。套管322包括徑向外表面以及徑向內表面。套管322定義氣體通道328。在一些範例中,氣體通道328為環形。入口329可用以將例如二次沖洗氣體之氣體源連接到環形氣體通道328。桿部326定義一或更多氣體狹縫330-1、330-2……330-S(統稱氣體狹縫330),該氣體狹縫係設置為環繞桿部326並且引導二次沖洗氣體從氣體通道328通過套管322進入處理腔室310。套管322亦可定義對於氣體通道328的下開口。如果使用的話,二次沖洗氣體也流過套管322之下開口。
噴淋頭344包括圓柱形基部346以及桿部352。圓柱形基部346定義氣體充氣部356。桿部352可具有定義氣體通道357的中空圓柱形狀,該氣體通道357係與圓柱形基部346之氣體充氣部356流體連通。氣體分佈板358可用以散佈從桿部352流至圓柱形基部346的氣體。噴淋頭344可更包括定義複數間隔開的通孔362之面板360。面板360相對位於面板360下方之基板支撐件368上的基板366,以相對均勻的方式散佈氣體。
在一些範例中,套管322之桿部326上的氣體狹縫330以相對處理腔室310之上表面312的大致平行方向引導來自氣體通道328的氣體。在一些範例中,隨著到圓柱形基部346的垂直距離減少,套管322之桿部326之內表面331具有單調遞增的直徑。內表面331定義介於套管322以及桿部352之間之單調遞增的間隙。在一些範例中,包圍在氣體狹縫330之相鄰者、套管322之桿部326以及噴淋頭344之桿部352之間的區域332-1、332-2……332-S大致上為環形,且具有梯形之剖面。在一些範例中,梯形剖面的徑向內角大約是直角,且區域332之徑向外表面具有從上至下單調遞增的直徑。
倒圓錐形表面382係設置為鄰近及/或連接至處理腔室310之上表面312。僅舉例而言,可使用緊固件384。倒圓錐形表面382包括朝向下方向重新導引水平氣流的具角度表面386。在一些範例當中,具角度表面386係相對於來自氣體狹縫330之流動設置為銳角。在一些範例當中,具角度表面386係相對於來自氣體狹縫330之流動設置在30º至60º之間的角度。在一些範例當中,具角度表面386係相對於來自氣體狹縫330之流動設置在40º至50º之間的角度。在一些範例當中,倒圓錐形表面382之徑向內緣與套管322隔開。在一些範例當中,倒圓錐形表面382之徑向外緣之底部位於圓柱形基部346之上表面,或者位於圓柱形基部346之上表面之上方。
分隔件370可設置成保持套管322相對於噴淋頭344之桿部352的位置。分隔件370可包括被設置為環繞桿部352且置於圓柱形基部346上的環形基部371。分隔件370可更包括二或更多從環形基部371向上突出的二或更多臂部372。臂部372之上端使桿部326之內表面朝向上和向外的方向偏移。
在操作期間,製程氣體係供給通過桿部352之氣體通道357進入圓柱形基部346的氣體充氣部356。該氣體經由間隔開的通孔362流出氣體充氣部356。
在製程的一些部分期間,可將二次沖洗氣體供給至套管322之氣體通道328。如在369處可見,二次沖洗氣體流過氣體通道328以及氣體狹縫330。氣流369被倒圓錐形表面382之具角度表面386以大致向下的方向重新引導朝向介於噴淋頭344以及側壁314之間的間隙387。二次沖洗氣體的其他部分向下流出桿部326之底部並且向外流向側壁314。可以理解,倒圓錐形表面382以及套管322的組合減少了噴淋頭344上方區域中的再循環。
先前描述在本質上僅為說明性的,而絕非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛教示可以各種形式實施。因此,雖本揭露內容包括特定範例,然由於當研究圖式、說明書、與以下申請專利範圍時,其他變化將變得顯而易見,故本揭露內容之真實範疇不應如此受限。應理解,在不改變本揭露內容之原理的情形下,方法中之一或更多步驟可以不同次序(或同時)執行。再者,雖實施例之每一者係於以上描述為具有某些特徵,然關於本揭露內容之任何實施例所述該等特徵之任何一或更多者可在任何其他實施例中實施、及/或與其特徵組合(即使並未明確描述該組合)。換言之,所述實施例並非相互排斥,且一或更多實施例彼此的置換維持在本揭露內容之範疇中。
元件 (例如,在模組、電路元件、半導體疊層等) 之間的空間與功能上的關係乃使用包括「連接」、「接合」、「耦合」、「鄰近」、「在…旁」、「在…之上」、「上方」、「下方」、與「設置」之各種術語描述。除非明確地描述為「直接」之情形下,否則當於上述揭露內容中描述第一與第二元件之間的關係時,該關係可為在第一與第二元件之間不存在其它中介元件之直接關係,但亦可為在第一與第二元件之間存在一或更多中介元件(空間上或功能上)的間接關係。如本文所用,詞組「A、B、與C之至少一者」應解釋成意指使用非排除性邏輯OR之邏輯(A OR B OR C),且不應解釋成代表「A之至少一者、B之至少一者、與C之至少一者」。
在一些實施例中,控制器為系統的一部分,該系統可為以上描述範例的一部分。如此之系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理用平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的運作。電子設備可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理需求及/或系統類型,控制器可程式設計成控制本文中所揭露之製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載鎖)。
廣泛地講,控制器可界定為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、界定為特殊用途積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)界定操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而界定之配方的一部分:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對該參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行之處理步驟的每一者指定參數。應理解,參數可特定地針對待執行之製程的類型、及控制器與之接合或加以控制之工具的類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體製造工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。
10‧‧‧基板處理系統
12‧‧‧處理腔室
14‧‧‧噴淋頭
16‧‧‧基板支撐件
18‧‧‧基板
20‧‧‧氣體輸送系統
22‧‧‧氣體源
22-1‧‧‧氣體源
22-2‧‧‧氣體源
22-N‧‧‧氣體源
24-1‧‧‧閥
24-2‧‧‧閥
24-N‧‧‧閥
26-1‧‧‧質量流量控制器
26-2‧‧‧質量流量控制器
26-N‧‧‧質量流量控制器
30‧‧‧歧管
40‧‧‧控制器
41‧‧‧感應器
42‧‧‧溫度控制器
46‧‧‧電漿產生器
50‧‧‧閥
52‧‧‧泵浦
60‧‧‧RF源
64‧‧‧匹配網路
80‧‧‧沖洗氣體源
82‧‧‧閥
84‧‧‧套管
100‧‧‧基板處理系統
110‧‧‧處理腔室
112‧‧‧上表面
114‧‧‧側壁
116‧‧‧下表面
122‧‧‧套管
124‧‧‧基部
126‧‧‧桿部
128‧‧‧氣體通道
129‧‧‧入口
130‧‧‧氣體狹縫
144‧‧‧噴淋頭
146‧‧‧圓柱形基部
152‧‧‧桿部
157‧‧‧氣體通道
156‧‧‧氣體充氣部
158‧‧‧氣體分佈板
160‧‧‧面板
162‧‧‧通孔
166‧‧‧基板
168‧‧‧基板支撐件
169‧‧‧標幟處
170‧‧‧標幟處
172‧‧‧標幟處
174‧‧‧標幟處
200‧‧‧基板處理系統
210‧‧‧處理腔室
212‧‧‧上表面
214‧‧‧側壁
216‧‧‧下表面
222‧‧‧套管
224‧‧‧基部
226‧‧‧桿部
228‧‧‧氣體通道
229‧‧‧入口
230‧‧‧氣體狹縫
244‧‧‧噴淋頭
246‧‧‧圓柱形基部
252‧‧‧桿部
256‧‧‧氣體充氣部
257‧‧‧氣體通道
258‧‧‧氣體分佈板
260‧‧‧面板
262‧‧‧通孔
266‧‧‧基板
268‧‧‧基板支撐件
269‧‧‧箭號
274‧‧‧圓錐形表面
276‧‧‧開口
278‧‧‧徑向外緣
282‧‧‧倒圓錐形表面
284‧‧‧緊固件
286‧‧‧相對表面
288‧‧‧相對表面
290‧‧‧流動通道
292‧‧‧箭號
300‧‧‧基板處理系統
310‧‧‧處理腔室
312‧‧‧上表面
314‧‧‧側壁
316‧‧‧下表面
322‧‧‧套管
324‧‧‧基部
326‧‧‧桿部
328‧‧‧氣體通道
329‧‧‧入口
330‧‧‧統合氣體狹縫
330-1‧‧‧氣體狹縫
330-2‧‧‧氣體狹縫
330-S‧‧‧氣體狹縫
331‧‧‧內表面
332‧‧‧區域
332-1‧‧‧區域
332-2‧‧‧區域
332-S‧‧‧區域
344‧‧‧噴淋頭
346‧‧‧圓柱形基部
352‧‧‧桿部
356‧‧‧氣體充氣部
357‧‧‧氣體通道
358‧‧‧氣體分佈板
360‧‧‧面板
362‧‧‧通孔
366‧‧‧基板
368‧‧‧基板支撐件
369‧‧‧氣流
370‧‧‧分隔件
371‧‧‧環形基部
382‧‧‧倒圓錐形表面
384‧‧‧緊固件
386‧‧‧具角度表面
387‧‧‧間隙
本揭露內容將由實施方式與附圖而變得更受到完整瞭解,其中:
圖1為根據本揭露內容之基板處理系統範例的功能性方塊圖;
圖2為基板處理系統範例的側面剖視圖;
圖3為根據本揭露內容之基板處理系統範例的側面剖視圖;以及
圖4為根據本揭露內容之另一基板處理系統範例的側面剖視圖。
在圖式中,參考編號可重複使用以指示相似及/或相同的元件。

Claims (16)

  1. 一種基板處理系統,包含: 一處理腔室; 一噴淋頭,包含一面板、一桿部以及一圓柱形基部; 一套管,將該噴淋頭連接至該處理腔室之一上表面, 其中該套管定義一氣體通道以及複數氣體狹縫,該氣體通道係用以接收二次沖洗氣體,該複數氣體狹縫朝徑向向外和向下的方向引導來自該氣體通道的該二次沖洗氣體; 一圓錐形表面,設置為鄰近該圓柱形基座並且環繞該噴淋頭之該桿部;以及 一倒圓錐形表面,設置為鄰近該處理腔室之一上表面以及側壁, 其中該圓錐形表面以及該倒圓錐形表面定義從該複數氣體狹縫至一間隙的一具角度氣體通道,該間隙係定義於該圓柱形基部之一徑向外部與該處理腔室之該側壁之間。
  2. 如申請專利範圍第1項之基板處理系統,其中該氣體通道定義一流動路徑,該流動路徑具有一固定寬度並且平行於該二次沖洗氣體從該複數之氣體狹縫流動的一方向。
  3. 如申請專利範圍第1項之基板處理系統,其中該圓錐形表面為中空,並且附接到該噴淋頭之該桿部以及該基部之至少一者。
  4. 如申請專利範圍第1項之基板處理系統,其中該圓錐形表面為實心,並且附接到該噴淋頭之該桿部以及該基部之至少一者。
  5. 如申請專利範圍第1項之基板處理系統,其中該圓錐形表面與該噴淋頭之該桿部以及該基部之至少一者結合。
  6. 如申請專利範圍第1項之基板處理系統,其中該倒圓錐形表面為中空,並且附接到該處理腔室之該上表面以及該側壁之至少一者。
  7. 如申請專利範圍第1項之基板處理系統,其中該倒圓錐形表面為實心,並且附接到該處理腔室之該上表面以及該側壁之至少一者。
  8. 如申請專利範圍第1項之基板處理系統,其中該倒圓錐形表面與該處理腔室之該上表面以及該側壁之至少一者結合。
  9. 如申請專利範圍第1項之基板處理系統,其中該圓錐形表面包含用以容納該桿部的一中心開口桿部。
  10. 如申請專利範圍第1項之基板處理系統,其中該複數氣體狹縫沿著該套管在徑向和軸向方向上隔開。
  11. 一種基板處理系統,包含: 一處理腔室; 一噴淋頭,包含一面板、一桿部以及一圓柱形基部;以及 一套管,將該噴淋頭連接至該處理腔室之一上表面; 其中該套管定義一氣體通道,並且包含一徑向內表面、一徑向外表面以及複數氣體狹縫; 其中二次沖洗氣體以一徑向向外的方向從該氣體通道流過該複數氣體狹縫;且 其中,該徑向內表面定義隨著到該圓柱形基部的一軸向距離遞減而單調遞增的一內直徑。
  12. 如申請專利範圍第11項之基板處理系統,其中該套管的該徑向內表面以及該噴淋頭的該桿部在兩者之間定義一氣體通道。
  13. 如申請專利範圍第11項之基板處理系統,更包含一倒圓錐形表面,其係設置為鄰近該處理腔室之一上表面,其中該倒圓錐形表面將流自該氣體狹縫的該二次沖洗氣體以一向下、向外的方向重新引導。
  14. 如申請專利範圍第11項之基板處理系統,更包含一分隔件,其係設置成環繞該桿部,以保持該套管相對於該桿部的一位置。
  15. 如申請專利範圍第14項之基板處理系統,其中該分隔件包括: 一環形基部,設置為鄰近該圓柱形基部;以及 複數臂部,其向上延伸以使該套管之該徑向內表面偏移。
  16. 如申請專利範圍第11項之基板處理系統,其中該複數氣體狹縫沿著該套管在徑向和軸向方向上隔開。
TW106122979A 2016-07-11 2017-07-10 用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板 TW201812081A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/207,035 US10403474B2 (en) 2016-07-11 2016-07-11 Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US15/207,035 2016-07-11

Publications (1)

Publication Number Publication Date
TW201812081A true TW201812081A (zh) 2018-04-01

Family

ID=60911046

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106122979A TW201812081A (zh) 2016-07-11 2017-07-10 用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板

Country Status (6)

Country Link
US (3) US10403474B2 (zh)
JP (2) JP7058953B2 (zh)
KR (2) KR102374558B1 (zh)
CN (2) CN107610996B (zh)
SG (1) SG10201705320PA (zh)
TW (1) TW201812081A (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
EP3401949B1 (en) 2016-01-06 2021-03-24 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas supply device
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
KR102518372B1 (ko) * 2018-03-23 2023-04-06 삼성전자주식회사 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법
WO2020185557A1 (en) * 2019-03-11 2020-09-17 Lam Research Corporation Apparatus for cleaning plasma chambers
TW202339551A (zh) * 2022-03-25 2023-10-01 美商蘭姆研究公司 用於改善沉積厚度均勻性的噴淋頭組件及基板處理系統
WO2024076477A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Showerhead for diffusion bonded, multi-zone gas dispersion
CN117059466A (zh) * 2023-10-13 2023-11-14 江苏邑文微电子科技有限公司 半导体沉积设备

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH08148439A (ja) * 1994-11-15 1996-06-07 Nissin Electric Co Ltd 薄膜気相成長装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
WO2001046498A2 (en) * 1999-12-22 2001-06-28 Aixtron Ag Chemical vapor deposition reactor and process chamber for said reactor
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
JP3883918B2 (ja) * 2002-07-15 2007-02-21 日本エー・エス・エム株式会社 枚葉式cvd装置及び枚葉式cvd装置を用いた薄膜形成方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
CN101370963B (zh) * 2006-01-19 2012-03-28 Asm美国公司 高温原子层沉积进气歧管
US8100081B1 (en) * 2006-06-30 2012-01-24 Novellus Systems, Inc. Edge removal of films using externally generated plasma species
US20080141509A1 (en) 2006-12-19 2008-06-19 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
JP4938892B2 (ja) 2007-08-07 2012-05-23 エフエスアイ インターナショナル インコーポレーテッド 一種類以上の処理流体により超小型電子半製品をプロセス処理すべく使用されるツールにおける隔壁板およびベンチュリ状封じ込めシステムのための洗浄方法および関連装置
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
JP5544697B2 (ja) 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
KR101028408B1 (ko) * 2008-12-29 2011-04-13 주식회사 케이씨텍 가스분사 유닛 및 이를 구비하는 원자층 증착장치
TWI539517B (zh) * 2010-02-12 2016-06-21 應用材料股份有限公司 使用於處理腔室之套件及使用用於氣流改良之套件之處理腔室
KR20120079962A (ko) * 2011-01-06 2012-07-16 주식회사 원익아이피에스 기판 처리 장치 및 그 동작 방법
JP6011074B2 (ja) * 2012-01-20 2016-10-19 富士通株式会社 電子装置の製造方法及び電子装置の製造装置
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9021985B2 (en) * 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP2013225684A (ja) * 2013-06-11 2013-10-31 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US10008367B2 (en) * 2013-06-26 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Gas diffuser unit, process chamber and wafer processing method
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
TWI524388B (zh) 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP5764228B1 (ja) 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system

Also Published As

Publication number Publication date
SG10201705320PA (en) 2018-02-27
US20190385817A1 (en) 2019-12-19
JP2022095877A (ja) 2022-06-28
KR20180006845A (ko) 2018-01-19
CN113658844B (zh) 2024-05-28
JP7058953B2 (ja) 2022-04-25
US20180012733A1 (en) 2018-01-11
JP2018011056A (ja) 2018-01-18
CN113658844A (zh) 2021-11-16
JP7395644B2 (ja) 2023-12-11
KR102535931B1 (ko) 2023-05-26
US10840061B2 (en) 2020-11-17
US10403474B2 (en) 2019-09-03
CN107610996A (zh) 2018-01-19
US20220230849A1 (en) 2022-07-21
KR20220034099A (ko) 2022-03-17
KR102374558B1 (ko) 2022-03-14
CN107610996B (zh) 2021-07-13

Similar Documents

Publication Publication Date Title
TW201812081A (zh) 用以降低基板處理系統中之再循環之套管、圓錐狀噴淋頭及/或頂板
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
TWI744323B (zh) 具有不同的加熱器跡線材料之層疊式加熱器
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
KR20210008919A (ko) 냉각된 대면 플레이트를 갖는 샤워헤드를 갖는 기판 프로세싱 챔버
US11515128B2 (en) Confinement ring with extended life
TW202224500A (zh) 真實自由基處理的遠端電漿架構
KR20190141260A (ko) 기판 프로세싱 시스템들을 위한 온도-튜닝된 기판 지지부
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室