JP7328280B2 - 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ - Google Patents

改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ Download PDF

Info

Publication number
JP7328280B2
JP7328280B2 JP2021101360A JP2021101360A JP7328280B2 JP 7328280 B2 JP7328280 B2 JP 7328280B2 JP 2021101360 A JP2021101360 A JP 2021101360A JP 2021101360 A JP2021101360 A JP 2021101360A JP 7328280 B2 JP7328280 B2 JP 7328280B2
Authority
JP
Japan
Prior art keywords
wall
flow module
pairs
radial walls
walls
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021101360A
Other languages
English (en)
Other versions
JP2021168392A (ja
Inventor
アンドリュー ヌグエン
トム ケー チョー
ビシュワナス ヨガナンダ サロデ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021168392A publication Critical patent/JP2021168392A/ja
Priority to JP2023081376A priority Critical patent/JP2023113697A/ja
Application granted granted Critical
Publication of JP7328280B2 publication Critical patent/JP7328280B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)

Description

背景
(分野)
本開示の実施形態は、半導体基板を処理するための装置及び方法に関する。より詳細には、本開示の実施形態は、可変処理容積及び改良されたフローコンダクタンス及び均一性を提供するモジュール設計を有する処理チャンバに関する。
(関連技術の説明)
電子デバイス(例えば、フラットパネルディスプレイ及び集積回路)は、一般的に、層が基板上に堆積され、堆積された材料が所望のパターンにエッチングされる一連の処理によって製造される。処理は、一般的に、物理蒸着(PVD)、化学蒸着(CVD)、プラズマ強化CVD(PECVD)、及び他のプラズマ処理を含む。具体的には、プラズマ処理は、真空チャンバに処理ガス混合物を供給し、処理ガスをプラズマ状態に励起させるために高周波電力(RF電力)を印加することを含む。プラズマは、所望の堆積又はエッチング処理を実行するイオン種にガス混合物を分解する。
プラズマ処理中に遭遇する1つの問題は、処理中に基板表面全域に亘って均一なプラズマ密度を確立することに伴う困難さであり、これは基板の中央領域とエッジ領域との間で不均一な処理につながる。均一なプラズマ密度を確立することの困難さは、物理的な処理チャンバ設計の非対称性に起因した、自然な電流、ガス流量、及び熱分布の中のスキュー(歪み)が寄与する可能性がある。このようなスキューは、不均一なプラズマ密度をもたらすだけでなく、中心からエッジまでのプラズマの均一性を制御するために、他の処理変数又は「ノブ」を使用することを困難にする。
最適な処理パラメータ(例えば、処理容積、基板とガス分配シャワーヘッドとの間の距離)は、異なる処理に対して、一般的に異なる。例えば、導体層をエッチングする、誘電体層をエッチング、又はフォトレジスト層を剥離する場合、異なる処理容積が望ましい。異なる処理を満たすために、複数の処理チャンバが必要となる可能性があり、これは所有コストを増大させる。
したがって、可変処理容積、改善されたフローコンダクタンス、及び改良された処理均一性を可能にする処理チャンバが必要とされている。
概要
本開示の実施形態は、可変処理容積、改善されたフローコンダクタンス、及び改良された処理均一性を提供するモジュール設計を有する処理チャンバに関する。
一実施形態は、基板を処理するための装置を提供する。本装置は、処理領域を囲む処理モジュールと、処理モジュールに取り付けられたフローモジュールを含む。フローモジュールは、排気チャネルと大気容積を画定する。排気チャネルは、処理モジュールの処理領域とフローモジュールに取り付けられた排気システムを接続する。本装置は、支持プレートとシャフトを含む基板支持アセンブリを更に含む。支持プレートは、内部で基板を支持するために処理領域内に配置され、シャフトは、処理モジュールの処理領域からフローモジュールの大気容積まで延びる。
別の一実施形態は、処理チャンバに対称な流路を提供するためのフローモジュールを提供する。フローモジュールは、処理チャンバのチャンバ本体と接続する形状の外壁と、内壁と、外壁と内壁との間に接続された2対以上の半径方向の壁と、底壁を含む。2以上の排気チャネルは、2対以上の半径方向の壁の内壁と外壁との間に画定される。底壁は、内壁及び2対以上の半径方向の壁に結合される。大気容積は、内壁、底壁、及び2対以上の半径方向の壁によって画定される。
別の一実施形態は、プラズマ処理チャンバを提供する。プラズマ処理チャンバは、処理領域を囲むチャンバ本体と、中心軸に沿って配置された基板支持アセンブリを含む処理モジュールを含む。プラズマ処理チャンバは、処理領域の上方のチャンバ本体上に配置されたソースモジュールを更に含む。ソースモジュールは、基板支持アセンブリに対向する上部電極を含む。プラズマ処理チャンバは、処理モジュールの下方に配置されたフローモジュールと、フローモジュールに取り付けられた排気モジュールを更に含む。フローモジュールは、排気チャネルと大気容積を画定する。排気チャネルは、処理モジュールの処理領域に接続する。大気容積は、基板支持アセンブリの軸を受け入れる。排気モジュールは、フローモジュールの排気チャネルと流体連通している。
本開示の上述した構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本開示の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本開示は他の等しく有効な実施形態を含み得ることに留意すべきである。
本開示の一実施形態に係るプラズマ処理チャンバの概略断面図である。 処理モジュール及びフローモジュールを示す図1Aのプラズマ処理チャンバの概略部分分解図である。 基板支持アセンブリを除去した処理モジュール及びフローモジュールの概略上面図である。 本開示の一実施形態に係るフローモジュールの概略斜視上面図である。 図2Aのフローモジュールの概略斜視底面図である。 本開示の一実施形態に係るシャーシの概略斜視図である。 本開示の実施形態に係る様々なモジュールから組み立てられた処理チャンバの概略断面図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態で開示された要素を、特に説明することなく、他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本開示は、概して、可変処理容積、改善されたフローコンダクタンス、及び/又は処理均一性を提供するモジュール設計を有する処理チャンバに関する。本開示に係るモジュール設計は、簡略化されたチャンバ構造を有する改良された処理均一性及び対称性を実現する。モジュール設計は、モジュール式処理チャンバの1以上のモジュールを交換することによって、様々な処理を実行する又は様々なサイズの基板を処理するための柔軟性を更に与える。
図1Aは、本開示の一実施形態に係るプラズマ処理チャンバ100の概略断面図である。プラズマ処理チャンバ100は、プラズマエッチングチャンバ、プラズマ強化化学気相堆積チャンバ、物理気相堆積チャンバ、プラズマ処理チャンバ、イオン注入チャンバ、又は他の適切な真空処理チャンバとすることができる。
プラズマ処理チャンバ100は、複数のモジュールから組み立てることができる。モジュール設計は、プラズマ処理チャンバ100が様々な処理要件を満たすことを可能にする。図1Aに示されるように、プラズマ処理チャンバ100は、ソースモジュール102、処理モジュール104、フローモジュール106、及び排気モジュール108を含む。ソースモジュール102、処理モジュール104、及びフローモジュール106は、処理領域112を集合的に囲む。動作中に、基板116は、基板支持アセンブリ118上に配置され、処理環境(例えば、処理領域112内で生成されたプラズマ)に曝される。プラズマ処理チャンバ100内で実行することができる例示的な処理は、エッチング、化学蒸着、物理蒸着、注入、プラズマアニーリング、プラズマ処理、除害(軽減)、又は他のプラズマ処理を含むことができる。真空は、排気モジュール108からフローモジュール106によって画定された排気チャネル114を介して吸引することにより、処理領域112内で維持することができる。
処理領域112及び排気チャネル114は、中心軸110の周りに実質的に対称的であり、これによって均一な処理条件を確立するための対称的な電流、ガス流、熱流を提供する。
一実施形態では、図1Aに示されるように、ソースモジュール102は、容量結合プラズマ源とすることができる。ソースモジュール102は、アイソレータ122によって処理モジュール104から隔離され、かつ処理モジュール104によって支持される上部電極120(又はアノード)を含むことができる。上部電極120は、熱伝達プレート130に取り付けられたシャワーヘッドプレート128を含むことができる。上部電極120は、ガス導入管126を介してガス供給源132に接続することができる。シャワーヘッドプレート128、熱伝達プレート130、及びガス導入管126はすべて、高周波(RF)導電性材料(例えば、アルミニウム又はステンレス鋼)から製造することができる。上部電極120は、導電性ガス導入管126を介してRF電源124に結合することができる。導電性ガス導入管126は、RF電力及び処理ガスの両方が対称的に提供されるように、プラズマ処理チャンバ100の中心軸110と同軸とすることができる。
たとえ、容量型プラズマ源が上述されていても、ソースモジュール102は、処理要件に応じて任意の適切なガス/プラズマ源とすることができる。例えば、ソースモジュール102は、誘導結合プラズマ源、リモートプラズマ源、又はマイクロ波プラズマ源であってもよい。
処理モジュール104は、ソースモジュール102に結合される。処理モジュール104は、処理領域112を取り囲むチャンバ本体140を含むことができる。チャンバ本体140は、処理環境に耐性のある導電性材料(例えば、アルミニウム又はステンレス鋼)から製造することができる。基板支持アセンブリ118は、チャンバ本体140内に中央配置され、中心軸110の周りに対称的な処理領域112内で基板116を支持するように配置することができる。
スリットバルブ開口部142は、基板116の通過を可能にするためにチャンバ本体140を貫通して形成することができる。スリットバルブ144は、スリットバルブ開口部142を選択的に開閉するために、チャンバ本体140の外側に配置することができる。
一実施形態では、上部ライナアセンブリ146は、チャンバ本体140の上部内に配置され、処理環境からチャンバ本体140を遮蔽することができる。上部ライナアセンブリ146は、チャンバ本体140内に形成されたスリットバルブ開口部142に対応する開口部148を含むことができる。一実施形態では、上部ライナアセンブリ146は、中心軸110の周りに対称的に形成された2以上の開口部148を含み、これによってスリットバルブ開口部142に起因するチャンバ本体140の非対称性を補償し、こうしてプラズマ処理チャンバ100内の処理領域112における対称性を生成することができる。例えば、上部ライナアセンブリ146は、互いに120度離間して形成された3つの同一の開口部148を有する円筒壁とすることができる。上部ライナアセンブリ146は、導電性処理適合性材料(例えば、アルミニウム、ステンレス鋼、及び/又はイットリア(例えば、イットリアコーティングされたアルミニウム))から構成することができる。
一実施形態では、冷却チャネル150がチャンバ本体140内に形成され、これによってチャンバ本体140及び上部ライナアセンブリ146に温度制御を提供し、プラズマ処理チャンバ100内の熱的対称性及び処理領域112内に提供されるプラズマの対称性を向上させることができる。
フローモジュール106は、処理モジュール104に取り付けられる。フローモジュール106は、処理モジュール104内に画定された処理領域112と排気モジュール108との間に流路を提供する。フローモジュール106はまた、基板支持アセンブリ118とプラズマ処理チャンバ100の外部の大気環境との間のインターフェースを提供する。
図1Bは、処理モジュール104及びフローモジュール106の組み立てを示すプラズマ処理チャンバ100の概略部分分解図である。図1Cは、基板支持アセンブリ118を除去した、処理モジュール104及びフローモジュール106の概略上面図である。フローモジュール106は、高さ107を有する。高さ107は、処理要件によって決まる垂直方向の移動量又は可変容積の度合いに応じて選択することができる。したがって、特定の処理のために処理チャンバを構築する際に、適切な高さを有するフローモジュールが、処理要件を満たすように選択することができる。異なる処理に対して処理チャンバを構成する場合、フローモジュールは、異なる高さを有する別のフローモジュールと交換することができる。
フローモジュール106は、外壁160、内壁162、内壁162と外壁160との間を接続する2対以上の半径方向の壁164、及び内壁162及び2対以上の半径方向の壁164に取り付けられた底壁166を含む。外壁160は、半径方向の壁164の各対間に形成された2以上の貫通孔170を含むことができる。シャーシ154は、内壁162及び2対以上の半径方向の壁164の上に密封して配置することができる。貫通孔170は、内壁162によって画定された大気容積106を外部環境に接続し、こうしてユーティリティ接続(例えば、電気接続、ガス接続、冷却流体接続)を収容する。シャーシ154は、基板支持アセンブリ118を受け入れるための中央開口部158を含むことができる。
フローモジュール106の外壁160は、処理モジュール104のチャンバ本体140と一致するように成形される。一実施形態では、外壁160は、チャンバ本体140のフランジ134に対応するフランジ136を含むことができる。複数のボルト138は、フローモジュール106を処理モジュール104に結合するために、フランジ134とフランジ136を固定するために使用することができる。一実施形態では、シール152は、チャンバ本体140のフランジ134と外側壁160のフランジ136との間に配置され、これによって、それらの間に真空シールを形成することができる。シール152は、Oリング又は他のタイプのシールとすることができる。一実施形態では、RF接地ガスケット172が、フローモジュール106と処理モジュール104との間に配置され、これによって均一で対称なRF接地リターンパスのための固体接触をそれらの間に提供することができる。
内壁162、底壁166、半径方向の壁164、及びシャーシ154は、外壁160内の容積を排気チャネル114と大気容積168に分割する。排気チャネル114は、処理モジュール104の処理領域112と接続する。シャーシ154の下面154aは、内壁160及び2対以上の半径方向の壁164の上面164aの上に形成された(図1Cに示される)溝164bに一致する溝154bを含むことができる。シール156は、溝154b、164b内に配置され、これによって排気チャネル114と大気容積168との間に真空シールを提供することができる。シール156は、Oリング又は他のタイプのシールとすることができる。
外壁160及び内壁162は、同心円状に配置された円筒状の壁とすることができる。組み立てたときに、外壁160と内壁162の中心軸は、プラズマ処理チャンバ100の中心軸110と一致する。2対以上の半径方向の壁164は、内壁162と外壁160との間に配置され、これによってそれらの間の空間を排気チャネル114及び貫通孔170に分割する。一実施形態では、2対以上の半径方向の壁164は、排気チャネル114が中心軸110の周りに対称的となるように配置される。図1Cに示されるように、フローモジュール106は、互いに120度離間して配置された3対の半径方向の壁164を含み、中心軸110に対称的な排気チャネル114を形成することができる。排出チャネル114の対称的な配置は、処理領域112からのガスの対称的な除去を促進し、基板116全域に亘るガスの対称的な流れをもたらす。また、排気チャネル114と半径方向の壁164の対称的な配置は、プラズマ処理チャンバ100内の熱分布及び電気伝導度の対称性を促進する。
排気モジュール108は、対称的なフローバルブ180と、対称的なフローバルブ180に取り付けられた真空ポンプ182を含む。対称的なフローバルブ180は、排気チャネル114に接続され、これによってプラズマ処理チャンバ100内に対称かつ均一な流れを提供する。
基板支持アセンブリ118は、基板116を中心軸110の周りに対称的に配置するために、中心軸110に沿って配置される。基板支持アセンブリ118は、シャーシ154によって支持される。基板支持アセンブリ118は、支持プレート174、処理領域112内に配置されたベースプレート176、及びシャーシ154の中央開口部158を通って配置された中空シャフト178を含む。ベローズ184が、ベースプレート176とシャーシ154との間を接続し、中空シャフト178を囲む。ベローズ184は、基板支持アセンブリ118が、中心軸110に沿って上下動することを可能にし、フローモジュール106内の大気容積168と処理モジュール104内の処理領域112との間に真空シールを提供する。
支持プレート174は、チャッキング電極186を有する静電チャックとすることができる。支持プレート174はまた、処理中に基板116を加熱するための加熱素子188を含むことができる。ベースプレート176は、内部に形成された冷却チャネル190を含むことができる。チャッキング電極186は、中空シャフト178と、大気容積168と、貫通孔170のうちの1つとを介して、バイアス電源187に接続することができる。加熱素子188は、中空シャフト178と、大気容積168と、貫通孔170のうちの1つとを介して、加熱電源189に接続することができる。冷却チャネル190は、中空シャフト178と、大気容積168と、貫通孔170のうちの1つとを介して、冷却流体供給源191に接続することができる。
一実施形態では、アクチュエータアセンブリ192は、垂直方向に基板支持アセンブリ118を移動させるために、中空シャフト178に結合することができる。アクチュエータアセンブリ192は、大気容積168内に配置することができる。リフトピンアクチュエータ194は、リフトピン196を移動させるために、大気容積168内に配置することができる。
プラズマスクリーン198は、処理領域112内にプラズマを閉じ込めるために、処理領域112と排気チャネル114の間に配置することができる。基板支持ライナー199は、基板支持アセンブリ118を処理化学から遮蔽するために、基板支持アセンブリ118の周りに配置することができる。
動作中、ガス供給源132から1以上の処理ガスが、シャワーヘッドプレート128を通して処理領域112に入ることができる。RF電力は、処理領域112内の1以上の処理ガスを発火させ維持するために、上部電極120と基板支持アセンブリ118との間に印加することができる。基板支持アセンブリ118上に配置された基板116は、プラズマによって処理される。1以上の処理ガスは、処理領域112に連続的に供給することができ、真空ポンプ182は、基板116上に対称かつ均一なガス流を生成するために、対称的なフローバルブ180とフローモジュール106を介して動作する。
分離したモジュール内に処理領域112及び排気チャネル114を画定することによって、本開示の実施形態は、単純化されたチャンバ構造によって均一かつ対称な処理環境を提供し、こうして製造コストを削減する。
図2Aは、本開示の一実施形態に係るフローモジュール106の概略的な斜視上面図である。図2Bは、フローモジュール106の概略斜視底面図である。外壁160は、上端部に処理モジュール104と接続するためのフランジ136と、下端に排気モジュール108と接続するためのフランジ202を含むことができる。位置合わせ穴204、206は、処理モジュール及び排気モジュールとそれぞれ位置合わせするために、フランジ136及びフランジ202に沿って均等に分布させることができる。溝208は、シールを受けるためにフランジ136上に形成することができる。1以上の位置合わせ穴210を、シャーシ(例えば、シャーシ154)と位置合わせするために上面164a上に形成してもよい。
図3は、本開示の一実施形態に係るシャーシ154の概略斜視図である。シャーシ154は、フローモジュール(例えば、フローモジュール106)と基板支持アセンブリ(例えば、基板アセンブリ118)との間にインターフェースを提供する。シャーシ154は、外方に延びる翼304を有するディスク状本体302を含むことができる。シャーシ154は、フローモジュール(例えば、フローモジュール106)に結合され、これによってフローモジュールを排気用フローチャネルと外部環境に接続された大気容積に分割することができる。ディスク状本体302は、基板支持アセンブリ(例えば、基板支持アセンブリ118)を収容するための中央開口部158を含む。段差308が、中央開口部158の下端に形成されてもよい。ベローズは、段差308に固定され、これによって中央開口部158の周りに真空シールを達成することができる。ディスク状本体302は、フローモジュール106の内壁162の外径に対応する外径を有する。翼306は、対の半径方向の壁164に対応する。一実施形態では、3つの翼306を、120度に離間して配置することができる。リフトピン孔306は、内部に基板リフトピンを受け入れるために各翼306を貫通して形成することができる。位置合わせ穴310は、フローモジュール106の位置合わせ穴210と位置合わせするように、各翼306を貫通して形成することができる。
処理の対称性と均一性を改善することに加えて、本開示の実施形態はまた、柔軟性を提供する。例えば、1以上のモジュールを変えることによって、プラズマ処理チャンバは、各種の処理を実行する、又は様々なサイズの基板を処理するように構成することができる。
図4A~図4Cは、本開示の実施形態に係る様々なモジュールから組み立てられたチャンバアセンブリの概略断面図である。チャンバセンブリは、様々なプラズマモジュール及び排気モジュールと組み合わせて、様々な処理チャンバを形成することができる。
図4Aでは、チャンバセンブリ400は、処理モジュール402とフローモジュール404を含む。処理モジュール402は、上述した処理モジュール104と同様とすることができる。フローモジュール404は、上述したフローモジュール106と同様とすることができる。処理モジュール402は、直径408を有する基板を支持するように設計された基板支持アセンブリ403を含むことができる。フローモジュール404は、処理要求に応じて、基板の垂直運動の範囲又は処理モジュール402内に処理容積の変化の度合いを満たす高さ406を有することができる。
図4Bでは、チャンバセンブリ410は、処理モジュール402及びフローモジュール412から組み立てられる。フローモジュール412は、チャンバセンブリ400のフローモジュール404の高さ406よりも短い高さ414を有する。処理が基板の垂直運動のより短い高さを必要とする場合、又は基板が垂直方向に移動しない場合に、より短い高さを有するフローモジュール412を使用することができる。より短い高さを有するフローモジュール412は、より少ないスペースを占め、こうして技術的利点を提供する。基板の垂直方向の動きのないフローモジュール412は、システムの複雑性を大幅に低減し、したがって、製造コスト及び保守コストを削減することができる。
図4Cでは、チャンバセンブリ420は、処理モジュール422及びフローモジュール412から組み立てられる。処理モジュール422は、直径424を有する基板を支持するように設計された基板支持アセンブリ426を含む。直径424は、処理モジュール402の直径408よりも大きい。よい大きな基板用に設計された処理モジュールを選択することにより、チャンバセンブリ420は、他のモジュールの直径を大きくする必要なしに、より大きな基板を処理するために使用することができる。これは、より大きな基板に対して比較的小さな容積を使用することを可能にし、チャンバコストを削減するだけでなく、ポンプのサイズ要件を低減し、より高い基板スループットが可能となるポンピング時間を減少させることができる。
本開示の実施形態は、容量結合プラズマチャンバに関連して記載されているが、本開示の実施形態は、任意の処理チャンバ内で、対称性を向上させ、スキューを低減するために使用することができる。
上記は本開示の実施形態を対象としているが、本開示の他の及び更なる実施形態は本開示の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (21)

  1. 処理チャンバに対称な流路を提供するためのフローモジュールであって、フローモジュールは、
    処理チャンバのチャンバ本体と接続するように形作られた外壁であって、
    外壁は上部フランジと下部フランジとを含み、
    フローモジュールは上部フランジでチャンバ本体に取り付けられ、
    外壁は2つ以上の貫通孔を含んでいる外壁と、
    内壁と、
    外壁と内壁を接続する2対以上の半径方向の壁であって、
    2つ以上の排気チャネルが、2対以上の半径方向の壁によって内壁と外壁との間に画定され、
    各貫通孔は、1対の半径方向の壁間に配置され
    溝が内壁及び2対以上の半径方向の壁の上面に配置されている半径方向の壁と、
    内壁と2対以上の半径方向の壁に結合された開口部を有しない底壁を含み、
    大気容積が、内壁、底壁、及び2対以上の半径方向の壁によって画定されているフローモジュール。
  2. 外壁及び内壁は、中心軸の周りに同心である、請求項1に記載のフローモジュール。
  3. 外壁及び内壁は実質的に円筒形である、請求項2に記載のフローモジュール。
  4. 2対以上の半径方向の壁は、内壁と外壁の間に均等に分布しており、
    2つ以上の排気チャネルは、フローモジュールの中心軸の周りに対称である、請求項1に記載のフローモジュール。
  5. 3対の半径方向の壁によって形成された3つの排気チャネルを有する、請求項4に記載のフローモジュール。
  6. 排気チャンネルは処理領域とフローモジュールに取り付けられた排気システムを接続するように構成される、請求項1に記載のフローモジュール。
  7. 排気チャンネルは処理チャンバの処理領域とフローモジュールに取り付けられた排気システムを接続し、
    大気容積は外壁に形成された2つ以上の貫通孔を介して外部環境と接続される、請求項6に記載のフローモジュール。
  8. 上部フランジと下部フランジは、分散された位置合わせ穴を含む、請求項1に記載のフローモジュール。
  9. 溝が上部フランジ上に形成され、シールを受容するように構成されている、請求項8に記載のフローモジュール。
  10. 処理チャンバに対称な流路を提供するためのフローモジュールであって、フローモジュールは、
    処理チャンバのチャンバ本体と接続するように形作られた外壁であって、
    外壁は上部フランジと下部フランジとを含み、
    フローモジュールは上部フランジでチャンバ本体に取り付けられている外壁と、
    内壁と、
    外壁と内壁を接続する2対以上の半径方向の壁であって、
    2つ以上の排気チャネルが、2対以上の半径方向の壁によって内壁と外壁との間に画定され、溝が内壁及び2対以上の半径方向の壁の上面に配置されている半径方向の壁と、
    内壁と2対以上の半径方向の壁に結合された開口部を有しない底壁と、
    内壁と2対以上の半径方向の壁の上方に設けられたシャーシであって、基板支持アセンブリのシャフトを受容するように構成された中央開口部を含むシャーシを含み、
    大気容積が、内壁、底壁、及び2対以上の半径方向の壁によって画定されているフローモジュール。
  11. 外壁及び内壁は、中心軸の周りに同心である、請求項10に記載のフローモジュール。
  12. 外壁及び内壁は実質的に円筒形である、請求項11に記載のフローモジュール。
  13. 2対以上の半径方向の壁は、内壁と外壁の間に均等に分布しており、
    2つ以上の排気チャネルは、フローモジュールの中心軸の周りに対称である、請求項10に記載のフローモジュール。
  14. 3対の半径方向の壁によって形成された3つの排気チャネルを有する、請求項11に記載のフローモジュール。
  15. 外壁は、2つ以上の貫通孔を含み、
    各貫通孔は、1対の半径方向の壁間に配置されており、大気容積に流体連通されている、請求項10に記載のフローモジュール。
  16. 排気チャンネルは処理領域とフローモジュールに取り付けられた排気システムを接続するように構成される、請求項10に記載のフローモジュール。
  17. 排気チャンネルは処理チャンバの処理領域とフローモジュールに取り付けられた排気モジュールを接続し、
    大気容積は外壁に形成された2つ以上の貫通孔を介して外部環境と接続される、請求項10に記載のフローモジュール。
  18. 処理チャンバに対称な流路を提供するためのフローモジュールであって、フローモジュールは、
    外壁であって、上部フランジと外壁の内側から外側に延びる下部フランジを含む外壁と、
    外壁の半径方向内側に配置された内壁と、
    外壁と内壁を接続する2対以上の半径方向の壁であって、溝が内壁及び2対以上の半径方向の壁の上面に配置されている半径方向の壁と、
    2対以上の半径方向の壁によって内壁と外壁との間に画定されている2つ以上の排気チャンネルと、
    外壁に配置され、半径方向の壁によって2つ以上の排気チャンネルから分離された2つ以上の貫通孔と、
    内壁と2対以上の半径方向の壁に結合された開口部を有しない底壁とを備え、
    大気容積が、内壁、底壁、及び2対以上の半径方向の壁によって画定され、2つ以上の貫通孔と流体連通されている、フローモジュール。
  19. 2対以上の半径方向の壁は、内壁と外壁の間に均等に分布しており、
    2つ以上の排気チャネルは、フローモジュールの中心軸の周りに対称である、請求項18に記載のフローモジュール。
  20. 3対の半径方向の壁によって形成された3つの排気チャネルを有する、請求項19に記載のフローモジュール。
  21. 上部フランジ及び下部フランジは分配された位置合わせ穴を含み、
    溝が上部フランジに形成され、シールを受容するように構成され、
    外壁及び内壁は実質的に円筒形である、請求項18に記載のフローモジュール。
JP2021101360A 2014-04-09 2021-06-18 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ Active JP7328280B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023081376A JP2023113697A (ja) 2014-04-09 2023-05-17 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461977222P 2014-04-09 2014-04-09
US61/977,222 2014-04-09
JP2020027731A JP6936884B2 (ja) 2014-04-09 2020-02-21 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020027731A Division JP6936884B2 (ja) 2014-04-09 2020-02-21 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023081376A Division JP2023113697A (ja) 2014-04-09 2023-05-17 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Publications (2)

Publication Number Publication Date
JP2021168392A JP2021168392A (ja) 2021-10-21
JP7328280B2 true JP7328280B2 (ja) 2023-08-16

Family

ID=54265023

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2017505048A Active JP6660936B2 (ja) 2014-04-09 2015-03-13 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2019223407A Active JP6954982B2 (ja) 2014-04-09 2019-12-11 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2020027731A Active JP6936884B2 (ja) 2014-04-09 2020-02-21 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2021101360A Active JP7328280B2 (ja) 2014-04-09 2021-06-18 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2023081376A Pending JP2023113697A (ja) 2014-04-09 2023-05-17 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2017505048A Active JP6660936B2 (ja) 2014-04-09 2015-03-13 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2019223407A Active JP6954982B2 (ja) 2014-04-09 2019-12-11 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP2020027731A Active JP6936884B2 (ja) 2014-04-09 2020-02-21 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023081376A Pending JP2023113697A (ja) 2014-04-09 2023-05-17 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ

Country Status (6)

Country Link
US (2) US10446418B2 (ja)
JP (5) JP6660936B2 (ja)
KR (2) KR102352739B1 (ja)
CN (2) CN112366128B (ja)
TW (1) TWI675132B (ja)
WO (1) WO2015156951A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
US11333246B2 (en) 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
JP6738485B2 (ja) * 2016-08-26 2020-08-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 低圧リフトピンキャビティハードウェア
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
JP6843089B2 (ja) * 2018-04-09 2021-03-17 東京エレクトロン株式会社 結露防止方法および処理装置
US11199267B2 (en) * 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000511700A (ja) 1996-06-05 2000-09-05 ラム リサーチ コーポレイション プラズマ発生源、真空ポンプ用装備、及び/又は片持梁式基板サポートのような装置モジュールを含む高流量真空処理用チャンバ装置
JP2002016044A (ja) 2000-06-28 2002-01-18 Matsushita Electric Ind Co Ltd 真空処理装置及び方法
JP2011003704A (ja) 2009-06-18 2011-01-06 Mitsubishi Heavy Ind Ltd プラズマ処理装置及び方法
JP2013084602A (ja) 2011-10-05 2013-05-09 Applied Materials Inc 対称プラズマ処理チャンバ
WO2014014566A1 (en) 2012-07-20 2014-01-23 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383334A (ja) * 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
JP4444437B2 (ja) * 2000-03-17 2010-03-31 キヤノンアネルバ株式会社 プラズマ処理装置
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6863835B1 (en) * 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
JP2002299331A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
JP4127488B2 (ja) * 2002-07-03 2008-07-30 東京エレクトロン株式会社 プラズマ処理装置
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6902648B2 (en) * 2003-01-09 2005-06-07 Oki Electric Industry Co., Ltd. Plasma etching device
JP2006165093A (ja) 2004-12-03 2006-06-22 Tokyo Electron Ltd プラズマ処理装置
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
JP5050369B2 (ja) * 2006-03-06 2012-10-17 東京エレクトロン株式会社 処理装置
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
JP2008060258A (ja) * 2006-08-30 2008-03-13 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5759177B2 (ja) * 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP2010174779A (ja) * 2009-01-30 2010-08-12 Hitachi High-Technologies Corp 真空処理装置
JP2010186891A (ja) * 2009-02-12 2010-08-26 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置のメンテナンス方法及びプラズマ処理装置の組み立て方法
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
SG178286A1 (en) * 2009-08-31 2012-03-29 Lam Res Corp Radio frequency (rf) ground return arrangements
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US10586686B2 (en) * 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
JP2013179504A (ja) * 2012-02-29 2013-09-09 Kyocera Crystal Device Corp 圧電デバイス
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US10170279B2 (en) * 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9082590B2 (en) * 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9449794B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
KR101682473B1 (ko) * 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
KR20160118205A (ko) 2014-02-06 2016-10-11 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
JP6449091B2 (ja) * 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP6683575B2 (ja) * 2016-09-01 2020-04-22 東京エレクトロン株式会社 プラズマ処理装置
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
JP7083463B2 (ja) * 2018-02-23 2022-06-13 株式会社日立ハイテク 真空処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000511700A (ja) 1996-06-05 2000-09-05 ラム リサーチ コーポレイション プラズマ発生源、真空ポンプ用装備、及び/又は片持梁式基板サポートのような装置モジュールを含む高流量真空処理用チャンバ装置
JP2002016044A (ja) 2000-06-28 2002-01-18 Matsushita Electric Ind Co Ltd 真空処理装置及び方法
JP2011003704A (ja) 2009-06-18 2011-01-06 Mitsubishi Heavy Ind Ltd プラズマ処理装置及び方法
JP2013084602A (ja) 2011-10-05 2013-05-09 Applied Materials Inc 対称プラズマ処理チャンバ
WO2014014566A1 (en) 2012-07-20 2014-01-23 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber

Also Published As

Publication number Publication date
JP2017518648A (ja) 2017-07-06
US20150293527A1 (en) 2015-10-15
JP2023113697A (ja) 2023-08-16
US10727096B2 (en) 2020-07-28
CN105431924B (zh) 2020-11-17
WO2015156951A1 (en) 2015-10-15
JP2020109847A (ja) 2020-07-16
CN105431924A (zh) 2016-03-23
KR102352739B1 (ko) 2022-01-17
JP6954982B2 (ja) 2021-10-27
JP2020065061A (ja) 2020-04-23
JP2021168392A (ja) 2021-10-21
TWI675132B (zh) 2019-10-21
US20190371627A1 (en) 2019-12-05
JP6936884B2 (ja) 2021-09-22
KR102392065B1 (ko) 2022-04-27
TW201544637A (zh) 2015-12-01
US10446418B2 (en) 2019-10-15
CN112366128A (zh) 2021-02-12
KR20220010585A (ko) 2022-01-25
CN112366128B (zh) 2024-03-08
KR20160141700A (ko) 2016-12-09
JP6660936B2 (ja) 2020-03-11

Similar Documents

Publication Publication Date Title
JP7328280B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
KR102423749B1 (ko) 대칭적인 플라즈마 프로세스 챔버
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
US9287147B2 (en) Substrate support with advanced edge control provisions
TWI576910B (zh) 具有用以去耦合離子及自由基控制之源的半導體處理系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210618

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220802

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221212

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230517

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230803

R150 Certificate of patent or registration of utility model

Ref document number: 7328280

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150