KR20160141700A - 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처 - Google Patents

개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처 Download PDF

Info

Publication number
KR20160141700A
KR20160141700A KR1020167002253A KR20167002253A KR20160141700A KR 20160141700 A KR20160141700 A KR 20160141700A KR 1020167002253 A KR1020167002253 A KR 1020167002253A KR 20167002253 A KR20167002253 A KR 20167002253A KR 20160141700 A KR20160141700 A KR 20160141700A
Authority
KR
South Korea
Prior art keywords
wall
module
rti
pairs
flow module
Prior art date
Application number
KR1020167002253A
Other languages
English (en)
Other versions
KR102352739B1 (ko
Inventor
앤드류 응우옌
톰 케이. 조
요가난다 사로드 비쉬와나트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227001182A priority Critical patent/KR102392065B1/ko
Publication of KR20160141700A publication Critical patent/KR20160141700A/ko
Application granted granted Critical
Publication of KR102352739B1 publication Critical patent/KR102352739B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)

Abstract

본 개시는 일반적으로, 가변 프로세스 볼륨과 개선된 유동 컨덕턴스 및 균일성을 제공하기 위한 모듈러 설계를 갖는 프로세스 챔버들에 관한 것이다. 본 개시에 따른 모듈러 설계는 단순화된 챔버 구조로 개선된 프로세스 균일성 및 대칭성을 달성한다. 모듈러 설계는 본 개시에 따른 모듈러 프로세스 챔버에서 하나 또는 그보다 많은 모듈들을 교체함으로써, 다양한 프로세스들을 수행하거나 다양한 크기들의 기판들을 프로세싱하는 융통성을 추가로 제공한다.

Description

개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처{SYMMETRIC CHAMBER BODY DESIGN ARCHITECTURE TO ADDRESS VARIABLE PROCESS VOLUME WITH IMPROVED FLOW UNIFORMITY/GAS CONDUCTANCE}
[0001] 본 개시의 실시예들은 반도체 기판들을 프로세싱하기 위한 장치 및 방법들에 관한 것이다. 보다 구체적으로, 본 개시의 실시예들은, 가변 프로세스 볼륨과 개선된 유동 컨덕턴스 및 균일성을 제공하기 위한 모듈러 설계를 갖는 프로세스 챔버에 관한 것이다.
[0002] 평판 디스플레이들 및 집적 회로들과 같은 전자 디바이스들은 흔히, 기판 상에 층들이 증착되고 증착된 재료가 원하는 패턴들로 에칭되는 일련의 프로세스들에 의해 제조된다. 프로세스들은 흔히 물리 기상 증착(PVD: physical vapor deposition), 화학 기상 증착(CVD: chemical vapor deposition), 플라즈마 강화 CVD(PECVD: plasma enhanced CVD), 및 다른 플라즈마 프로세싱을 포함한다. 구체적으로, 플라즈마 프로세스는 진공 챔버에 프로세스 가스 혼합물을 공급하는 것, 그리고 무선 주파수(radio frequency) 전력(RF 전력)을 인가하여 프로세스 가스를 플라즈마 상태로 여기(excite)하는 것을 포함한다. 플라즈마는 가스 혼합물을 원하는 증착 또는 에칭 프로세스들을 수행할 이온 종으로 분해한다.
[0003] 플라즈마 프로세스들 동안 접하게 되는 한 가지 문제점은 프로세싱 동안 기판 표면 위에 균일한 플라즈마 밀도를 안정시키는(establish) 것과 연관된 어려움인데, 이는 기판의 중심 영역과 에지 영역들 사이의 불균일한 프로세싱으로 이어진다. 균일한 플라즈마 밀도를 안정시키는 데 있어서의 어려움은, 물리적 프로세스 챔버 설계의 비대칭성들로 인한, 자연 전류, 가스 유동 및 열 분포의 왜곡들이 원인이 될 수도 있다. 이러한 왜곡들은 불균일한 플라즈마 밀도를 야기하는 것은 물론, 다른 프로세싱 변수들 또는 "노브(knob)들"을 사용하여 중심-에지 플라즈마 균일성을 제어하는 것을 어렵게 한다.
[0004] 프로세스 볼륨, 기판과 가스 분배 샤워헤드 간의 거리와 같은 최적 프로세스 파라미터들은 일반적으로 프로세스들마다 서로 다르다. 예를 들어, 컨덕터 층을 에칭하거나, 유전체 층을 에칭하거나 또는 포토레지스트 층을 스트립핑할 때 서로 다른 프로세스 볼륨들이 바람직하다. 서로 다른 프로세스들을 충족시키기 위해, 다수의 프로세스 챔버들이 필요할 수도 있으며, 이는 소유 비용을 증가시킨다.
[0005] 따라서 가변 프로세스 볼륨, 개선된 유동 컨덕턴스 및 개선된 프로세스 균일성을 가능하게 하는 프로세스 챔버에 대한 필요성이 존재한다.
[0006] 본 개시의 실시예들은, 가변 프로세스 볼륨, 개선된 유동 컨덕턴스 및 개선된 프로세스 균일성을 제공하기 위한 모듈러 설계를 갖는 프로세스 챔버에 관한 것이다.
[0007] 일 실시예는 기판을 프로세싱하기 위한 장치를 제공한다. 이 장치는 프로세스 영역을 둘러싸는 프로세스 모듈, 및 프로세스 모듈에 부착된 유동 모듈을 포함한다. 유동 모듈은 진공배기(evacuation) 채널들 및 대기 볼륨(atmosphere volume)을 한정(define)한다. 진공배기 채널들은 유동 모듈에 부착된 배기 시스템과 프로세스 모듈의 프로세스 영역을 연결한다. 이 장치는 추가로, 지지판 및 샤프트를 포함하는 기판 지지 어셈블리를 포함한다. 지지판은 그 지지판 내에 기판을 지지하도록 프로세스 영역에 배치되고, 샤프트는 프로세스 모듈의 프로세싱 영역에서부터 유동 모듈의 대기 볼륨까지 연장한다.
[0008] 다른 실시예는 프로세스 챔버에 대칭 유동 경로를 제공하기 위한 유동 모듈을 제공한다. 유동 모듈은 프로세스 챔버의 챔버 본체와 연결되도록 형상화된 외벽(outer wall), 내벽(inner wall), 외벽과 내벽 사이에 연결된 두 쌍 또는 그보다 많은 쌍들의 방사벽(radial wall)들, 및 바닥벽(bottom wall)을 포함한다. 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 의해 내벽과 외벽 사이에 둘 또는 그보다 많은 진공배기 채널들이 한정된다. 바닥벽은 내벽 및 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 커플링된다. 내벽, 바닥벽 및 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 의해 대기 볼륨이 한정된다.
[0009] 다른 실시예는 플라즈마 프로세스 챔버를 제공한다. 플라즈마 프로세스 챔버는 프로세스 영역을 둘러싸는 챔버 본체를 포함하는 프로세스 모듈, 중심축을 따라 배치되는 기판 지지 어셈블리를 포함한다. 플라즈마 프로세스 챔버는 프로세스 영역 위에서 챔버 본체 위에 배치된 소스 모듈을 더 포함한다. 소스 모듈은 기판 지지 어셈블리와 대향하는 상부 전극을 포함한다. 플라즈마 프로세스 챔버는 프로세스 모듈 아래에 배치된 유동 모듈 및 유동 모듈에 부착된 배기 모듈을 더 포함한다. 유동 모듈은 진공배기 채널들 및 대기 볼륨을 한정한다. 진공배기 채널들은 프로세스 모듈의 프로세스 영역에 연결된다. 대기 볼륨은 기판 지지 어셈블리의 샤프트를 수용한다. 배기 모듈은 유동 모듈의 진공배기 채널들과 유체 소통한다.
[0010] 본 개시의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 본 개시의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a는 본 개시의 일 실시예에 따른 플라즈마 프로세스 챔버의 개략적인 단면도이다.
[0012] 도 1b는 프로세스 모듈과 유동 모듈을 보여주는, 도 1a의 플라즈마 프로세스 챔버의 개략적인 부분 분해도이다.
[0013] 도 1c는 기판 지지 어셈블리가 제거된, 프로세스 모듈과 유동 모듈의 개략적인 상면도이다.
[0014] 도 2a는 본 개시의 일 실시예에 따른 유동 모듈의 개략적인 상면 사시도이다.
[0015] 도 2b는 도 2a의 유동 모듈의 개략적인 저면 사시도이다.
[0016] 도 3은 본 개시의 일 실시예에 따른 섀시(chassis)의 개략적인 사시도이다.
[0017] 도 4a - 도 4c는 본 개시의 실시예들에 따른, 다양한 모듈들로부터 조립된 프로세스 챔버들의 개략적인 단면도들이다.
[0018] 이해를 쉽게 하기 위해, 가능한 경우에는 도면들에 대해 공통인 동일한 엘리먼트들을 지시하는 데 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들은 특정한 설명 없이 다른 실시예들에 유리하게 이용될 수도 있다는 점이 고려된다.
[0019] 본 개시는 일반적으로, 가변 프로세스 볼륨들, 개선된 유동 컨덕턴스 및/또는 프로세스 균일성을 제공하기 위한 모듈러 설계를 갖는 프로세스 챔버들에 관한 것이다. 본 개시에 따른 모듈러 설계는 단순화된 챔버 구조로 개선된 프로세스 균일성 및 대칭성을 달성한다. 모듈러 설계는 모듈러 프로세스 챔버의 하나 또는 그보다 많은 모듈들을 교체함으로써, 다양한 프로세스들을 수행하거나 다양한 크기들의 기판들을 프로세싱하기 위한 융통성을 추가로 제공한다.
[0020] 도 1a는 본 개시의 일 실시예에 따른 플라즈마 프로세스 챔버(100)의 개략적인 단면도이다. 플라즈마 프로세스 챔버(100)는 플라즈마 에칭 챔버, 플라즈마 강화 화학 기상 증착 챔버, 물리 기상 증착 챔버, 플라즈마 처리 챔버, 이온 주입 챔버, 또는 다른 적당한 진공 프로세싱 챔버일 수도 있다.
[0021] 플라즈마 프로세스 챔버(100)는 다수의 모듈들로부터 조립될 수 있다. 모듈러 설계는 플라즈마 프로세스 챔버(100)가 다양한 프로세스 요건들을 충족할 수 있게 한다. 도 1a에 도시된 바와 같이, 플라즈마 프로세스 챔버(100)는 소스 모듈(102), 프로세스 모듈(104), 유동 모듈(106) 및 배기 모듈(108)을 포함할 수 있다. 소스 모듈(102), 프로세스 모듈(104) 및 유동 모듈(106)이 단체로 프로세싱 영역(112)을 둘러싼다. 동작 중에, 기판(116)이 기판 지지 어셈블리(118) 상에 포지셔닝되어, 프로세싱 영역(112)에서 발생되는 플라즈마와 같은 프로세스 환경에 노출될 수 있다. 플라즈마 프로세스 챔버(100)에서 수행될 수 있는 예시적인 프로세스는 에칭, 화학 기상 증착, 물리 기상 증착, 주입, 플라즈마 어닐링, 플라즈마 처리, 저감(abatement), 또는 다른 플라즈마 프로세스들을 포함할 수도 있다. 유동 모듈(106)에 의해 한정된 진공배기 채널들(114)을 통한 배기 모듈(108)로부터의 흡입에 의해 프로세싱 영역(112)에서 진공이 유지될 수 있다.
[0022] 프로세싱 영역(112)과 진공배기 채널들(114)은 대칭적인 전류, 가스 유동 및 열 유동을 제공하여 균일한 프로세스 상태들을 안정시키도록 중심축(110)에 관해 실질적으로 대칭이다.
[0023] 도 1a에 도시된 바와 같은 일 실시예에서, 소스 모듈(102)은 용량 결합 플라즈마 소스일 수도 있다. 소스 모듈(102)은, 절연체(122)에 의해 프로세스 모듈(104)로부터 절연되어 프로세스 모듈(104)에 의해 지지되는 상부 전극(120)(또는 애노드)을 포함할 수 있다. 상부 전극(120)은 전열판(130)에 부착된 샤워헤드판(128)을 포함할 수 있다. 상부 전극(120)은 가스 유입구 튜브(126)를 통해 가스 소스(132)에 연결될 수 있다. 샤워헤드판(128), 전열판(130) 및 가스 유입구 튜브(126)는 모두, 알루미늄 또는 스테인리스 스틸과 같은 무선 주파수(RF) 전도성 재료로 제조될 수 있다. 상부 전극(120)은 전도성 가스 유입구 튜브(126)를 통해 RF 전원(124)에 커플링될 수 있다. RF 전력과 프로세싱 가스들 모두가 대칭적으로 제공되도록 전도성 가스 유입구 튜브(126)는 플라즈마 프로세스 챔버(100)의 중심축(110)과 동축일 수도 있다.
[0024] 위에서는 용량성 플라즈마 소스가 설명되지만, 소스 모듈(102)은 프로세스 요건에 따라 임의의 적당한 가스/플라즈마 소스일 수도 있다. 예를 들어, 소스 모듈(102)은 유도 결합 플라즈마 소스, 원격 플라즈마 소스 또는 마이크로파 플라즈마 소스일 수도 있다.
[0025] 프로세스 모듈(104)은 소스 모듈(102)에 커플링된다. 프로세스 모듈(104)은 프로세스 영역(112)을 둘러싸는 챔버 본체(140)를 포함할 수 있다. 챔버 본체(140)는 프로세싱 환경들에 대해 저항력이 있는 전도성 재료, 예컨대 알루미늄 또는 스테인리스 스틸로 제조될 수 있다. 기판 지지 어셈블리(118)는 챔버 본체(140) 내에서 중앙에 배치되어 프로세스 영역(112)에서 중심축(110)에 관해 대칭적으로 기판(116)을 지지하도록 포지셔닝될 수 있다.
[0026] 기판(116)의 통과들을 가능하게 하도록 챔버 본체(140)를 관통해 슬릿 밸브 개구(142)가 형성될 수 있다. 슬릿 밸브 개구(142)를 선택적으로 개폐하도록 챔버 본체(140) 바깥쪽에 슬릿 밸브(144)가 배치될 수도 있다.
[0027] 일 실시예에서, 챔버 본체(140)의 상단 부분 내에 상부 라이너 어셈블리(146)가 배치되어 프로세스 환경으로부터 챔버 본체(140)를 차폐할 수도 있다. 상부 라이너 어셈블리(146)는 챔버 본체(140) 안에 형성된, 슬릿 밸브 개구(142)에 대응하는 개구(148)를 포함할 수도 있다. 일 실시예에서, 상부 라이너 어셈블리(146)는 슬릿 밸브 개구(142)에 의해 야기된 챔버 본체(140)의 비대칭성을 보상하여, 플라즈마 프로세스 챔버(100) 내에서 프로세스 영역(112)의 대칭성을 야기하도록, 중심축(110)에 관해 대칭적으로 형성된 둘 또는 그보다 많은 개구들(148)을 포함할 수 있다. 예를 들어, 상부 라이너 어셈블리(146)는 서로 120도 떨어져 형성된 3개의 동일한 개구들(148)을 갖는 원통형 벽일 수도 있다. 상부 라이너 어셈블리(146)는 프로세스 호환성이 있는 전도성 재료, 예컨대 알루미늄, 스테인리스 스틸 및/또는 산화이트륨(예를 들어, 산화이트륨 코팅 알루미늄)으로 구성될 수도 있다.
[0028] 일 실시예에서는, 챔버 본체(140) 및 상부 라이너 어셈블리(146)에 대한 온도 제어를 제공하여 플라즈마 프로세스 챔버(100) 내에서의 열 대칭성 및 프로세스 영역(112)에 제공되는 플라즈마의 대칭성을 강화하도록 챔버 본체(140)에 냉각 채널들(150)이 형성될 수도 있다.
[0029] 유동 모듈(106)이 프로세스 모듈(104)에 부착된다. 유동 모듈(106)은 프로세스 모듈(104)에 한정된 프로세스 영역(112)과 배기 모듈(108) 사이에 유동 경로들을 제공한다. 유동 모듈(106)은 또한, 기판 지지 어셈블리(118)와 플라즈마 프로세스 챔버(100) 외부의 대기 환경 간의 인터페이스를 제공한다.
[0030] 도 1b는 프로세스 모듈(104)과 유동 모듈(106)의 어셈블리를 보여주는 플라즈마 프로세스 챔버(100)의 개략적인 부분 분해도이다. 도 1c는 기판 지지 어셈블리(118)가 제거된, 프로세스 모듈(104)과 유동 모듈(106)의 개략적인 상면도이다. 유동 모듈(106)은 높이(107)를 갖는다. 높이(107)는 프로세스 요건들에 의해 지시된 가변 볼륨의 정도 또는 수직 이동의 양에 따라 선택될 수 있다. 이에 따라, 특정 프로세스를 위한 프로세스 챔버를 만들어낼 때, 프로세스 요건들을 충족하도록 적당한 높이를 갖는 유동 모듈이 선택될 수 있다. 유동 모듈은, 다른 프로세스를 위한 프로세스 챔버를 구성할 때, 다른 높이를 갖는 다른 유동 모듈로 교체될 수도 있다.
[0031] 유동 모듈(106)은 외벽(160), 내벽(162), 내벽(162)과 외벽(160)을 연결하는 두 쌍 또는 그보다 많은 쌍들의 방사벽들(164), 및 내벽(162)과 두 쌍 또는 그보다 많은 쌍들의 방사벽들(164)에 부착된 바닥벽(166)을 포함한다. 외벽(160)은 각각의 쌍의 방사벽들(164) 사이에 형성된 둘 또는 그보다 많은 관통 홀들(170)을 포함할 수 있다. 내벽(162)과 두 쌍 또는 그보다 많은 쌍들의 방사벽들(164) 위에 섀시(154)가 밀봉하여 배치될 수 있다. 관통 홀들(170)은 내벽(162)에 의해 한정된 대기 볼륨(168)을 외부 환경과 연결하여, 전기 연결, 가스 연결, 냉각 유체 연결과 같은 유틸리티 연결들을 제공한다. 섀시(154)는 기판 지지 어셈블리(118)를 수용하기 위한 중심 개구(158) 포함할 수 있다.
[0032] 유동 모듈(106)의 외벽(160)은 프로세스 모듈(104)의 챔버 본체(140)와 매칭하도록 형상화된다. 일 실시예에서, 외벽(160)은 챔버 본체(140) 상의 플랜지(134)에 대응하는 플랜지(136)를 포함할 수 있다. 플랜지(134)와 플랜지(136)를 고정하여 유동 모듈(106)을 프로세스 모듈(104)에 커플링하는 데 복수의 볼트들(138)이 사용될 수 있다. 일 실시예에서는, 챔버 본체(140)의 플랜지(134)와 외벽(160)의 플랜지(136) 사이에 시일(seal)(152)이 배치되어 그 사이에 진공 시일을 형성할 수도 있다. 시일(152)은 o-링 또는 다른 타입의 시일일 수도 있다. 일 실시예에서는, 균일하고 대칭적인 RF 접지 귀로를 위해 유동 모듈(106)과 프로세스 모듈(104) 사이에 RF 접지 개스킷(172)이 배치되어 이들 사이의 솔리드 접촉을 제공할 수도 있다.
[0033] 내벽(162), 바닥벽(166), 방사벽들(164) 및 섀시(154)는 외벽(160) 내의 볼륨을 진공배기 채널들(114)과 대기 볼륨(168)으로 나눈다. 진공배기 채널들(114)은 프로세스 모듈(104)의 프로세스 영역(112)과 연결된다. 섀시(154)의 아랫면(154a)은, 두 쌍 또는 그보다 많은 쌍들의 방사벽들(164)과 내벽(162)의 윗면(164a) 상에 형성된 (도 1c에 도시된) 홈(164b)과 매칭하는 홈(154b)을 포함할 수 있다. 홈들(154b, 164b)에는 시일(156)이 배치되어 진공배기 채널들(114)과 대기 볼륨(168) 사이의 진공 시일을 제공할 수도 있다. 시일(156)은 o-링 또는 다른 타입의 시일일 수도 있다.
[0034] 외벽(160)과 내벽(162)은 동심 배열된 원통형 벽들일 수도 있다. 조립될 때, 외벽(160)과 내벽(162)의 중심축은 플라즈마 프로세스 챔버(100)의 중심축(110)과 일치한다. 내벽(162)과 외벽(160) 사이에 두 쌍 또는 그보다 많은 쌍들의 방사벽들(164)이 배열되어 이들 사이의 공간을 진공배기 채널들(114)과 관통 홀들(170)로 나눈다. 일 실시예에서, 두 쌍 또는 그보다 많은 쌍들의 방사벽들(164)은 진공배기 채널들(114)이 중심축(110)에 관해 대칭이 되도록 배열된다. 도 1c에 도시된 바와 같이, 유동 모듈(106)은, 서로 120도 떨어지게 배치되어 중심축(110)에 대해 대칭인 3개의 진공배기 채널들(114)을 형성하는 세 쌍의 방사벽들(164)을 포함할 수 있다. 진공배기 채널들(114)의 대칭 배열은 프로세싱 영역(112)으로부터 가스들의 대칭적인 제거를 촉진하여, 기판(116)에 걸친 가스들의 대칭적인 유동을 야기한다. 또한, 진공배기 채널들(114)과 방사벽들(164)의 대칭적인 포지셔닝은 플라즈마 프로세스 챔버(100)에서 열 분포 및 전기 컨덕턴스의 대칭성을 촉진시킨다.
[0035] 배기 모듈(108)은 대칭적 유동 밸브(180) 및 대칭적 유동 밸브(180)에 부착된 진공 펌프(182)를 포함한다. 대칭적 유동 밸브(180)는 진공배기 채널들(114)에 연결되어 플라즈마 프로세스 챔버(100)에서 대칭적이고 균일한 유동을 제공한다.
[0036] 기판 지지 어셈블리(118)는 기판(116)을 중심축(110)에 관해 대칭적으로 포지셔닝하도록 중심축(110)을 따라 포지셔닝된다. 기판 지지 어셈블리(118)는 섀시(154)에 의해 지지된다. 기판 지지 어셈블리(118)는, 프로세스 영역(112)에 배치된, 지지판(174), 기초판(176), 및 섀시(154)의 중심 개구(158)를 관통하여 배치된 중공 샤프트(178)를 포함한다. 벨로즈(bellows)(184)가 기초판(176)과 섀시(154) 사이를 연결하며 중공 샤프트(178)를 둘러쌀 수도 있다. 벨로즈(184)는 기판 지지 어셈블리(118)가 중심축(110)을 따라 수직으로 이동할 수 있게 하며 유동 모듈(106)의 대기 볼륨(168)과 프로세스 모듈(104)의 프로세스 영역(112) 사이에 진공 시일을 제공한다.
[0037] 지지판(174)은 척킹(chucking) 전극(186)을 갖는 정전 척일 수도 있다. 지지판(174)은 또한, 프로세싱 동안 기판(116)을 가열하기 위한 가열 엘리먼트(188)를 포함할 수도 있다. 기초판(176)은 그 안에 형성된 냉각 채널들(190)을 포함할 수도 있다. 척킹 전극(186)은, 중공 샤프트(178), 대기 볼륨(168) 및 관통 홀들(170) 중 하나의 관통 홀을 통해 바이어스 전원(187)에 연결될 수 있다. 가열 엘리먼트(188)는, 중공 샤프트(178), 대기 볼륨(168) 및 관통 홀들(170) 중 하나의 관통 홀을 통해 가열 전원(189)에 연결될 수 있다. 냉각 채널들(190)은, 중공 샤프트(178), 대기 볼륨(168) 및 관통 홀들(170) 중 하나의 관통 홀을 통해 냉각 유체 소스(191)에 연결될 수 있다.
[0038] 일 실시예에서는, 액추에이터 어셈블리(192)가 중공 샤프트(178)에 커플링되어 기판 지지 어셈블리(118)를 수직으로 이동시킬 수도 있다. 액추에이터 어셈블리(192)는 대기 볼륨(168)에 배치될 수도 있다. 리프트 핀 액추에이터들(194)이 대기 볼륨(168)에 배치되어 리프트 핀들(196)을 이동시킬 수도 있다.
[0039] 프로세스 영역(112)과 진공배기 채널들(114) 사이에 플라즈마 스크린(198)이 배치되어 플라즈마를 프로세스 영역(112) 내에 가둘 수 있다. 기판 지지 라이너(199)가 기판 지지 어셈블리(118) 둘레에 배치되어 프로세스 화학 작용으로부터 기판 지지 어셈블리(118)를 차폐할 수도 있다.
[0040] 동작 중에는, 가스 소스(132)로부터의 하나 또는 그보다 많은 프로세싱 가스들이 샤워헤드판(128)을 통해 프로세스 영역(112)에 들어갈 수 있다. 상부 전극(120)과 기판 지지 어셈블리(118) 사이에 RF 전력이 인가되어, 프로세스 영역(112) 내의 하나 또는 그보다 많은 프로세싱 가스들을 점화하고 유지할 수 있다. 기판 지지 어셈블리(118) 상에 배치된 기판(116)이 플라즈마에 의해 프로세싱된다. 하나 또는 그보다 많은 프로세싱 가스들이 계속해서 프로세스 영역(112)에 공급될 수 있고, 진공 펌프(182)는 대칭적 유동 밸브(180) 및 유동 모듈(106)을 통해 기판(116) 위에 대칭적이고 균일한 가스 유동을 발생시키도록 작동한다.
[0041] 개별 모듈들로 프로세스 영역(112) 및 진공배기 채널들(114)을 한정함으로써, 본 개시의 실시예들은 단순화된 챔버 구조로 균일하고 대칭적인 프로세스 환경을 제공하여, 제조 비용을 절감한다.
[0042] 도 2a는 본 개시의 일 실시예에 따른 유동 모듈(106)의 개략적인 상면 사시도이다. 도 2b는 유동 모듈(106)의 개략적인 저면 사시도이다. 외벽(160)은 프로세스 모듈(104)과 연결하기 위한 상단부(upper end)의 플랜지(136) 및 배기 모듈(108)과 연결하기 위한 하단부(lower end)의 플랜지(202)를 포함할 수 있다. 각각 프로세스 모듈 및 배기 모듈과 맞춰 정렬하기 위해 정렬 홀들(204, 206)이 플랜지(136) 및 플랜지(202)를 따라 균등하게 분포될 수 있다. 시일을 수용하도록 플랜지(136) 상에 홈(208)이 형성될 수 있다. 섀시(154)와 같은 섀시와 맞춰 정렬하기 위해 하나 또는 그보다 많은 정렬 홀들(210)이 윗면(164a) 상에 형성될 수도 있다.
[0043] 도 3은 본 개시의 일 실시예에 따른 섀시(154)의 개략적인 사시도이다. 섀시(154)는 유동 모듈(106)과 같은 유동 모듈과 기판 지지 어셈블리(118)와 같은 기판 지지 어셈블리 사이의 인터페이스를 제공한다. 섀시(154)는 바깥쪽으로 연장하는 날개들(304)을 갖는 디스크형 본체(302)를 포함할 수 있다. 섀시(154)는 유동 모듈(106)과 같은 유동 모듈에 커플링되어, 유동 모듈을 진공배기용 유동 채널들 및 외부 환경에 연결된 대기 볼륨으로 나눌 수 있다. 디스크형 본체(302)는 기판 지지 어셈블리(118)와 같은 기판 지지 어셈블리를 수용하기 위한 중심 개구(158)를 포함한다. 중심 개구(158)의 하단부에 스텝(308) 형성될 수도 있다. 스텝(308)에 벨로즈가 고정되어 중심 개구(158) 둘레의 진공 시일을 달성할 수도 있다. 디스크형 본체(302)는 유동 모듈(106)의 내벽(162)의 바깥 지름에 대응하는 바깥 지름을 가질 수도 있다. 날개들(304)은 방사벽들(164)의 쌍들에 대응한다. 일 실시예에서, 3개의 날개들(304)이 120도 떨어져 포지셔닝될 수 있다. 리프트 핀 홀(306)이 각각의 날개(304)를 관통해 형성되어 그 안에 기판 리프트 핀을 수용할 수도 있다. 정렬 홀들(310)이 각각의 날개(304)를 관통해 형성되어 유동 모듈(106)의 정렬 홀들(210)과 맞춰 정렬될 수 있다.
[0044] 프로세스 대칭성 및 균일성을 개선하는 것 외에도, 본 개시의 실시예들은 또한 융통성을 제공한다. 예를 들어, 모듈들 중 하나 또는 그보다 많은 모듈을 변경함으로써, 플라즈마 프로세스 챔버가 다양한 프로세스들을 수행하도록 또는 다양한 크기들의 기판들을 프로세싱하도록 구성될 수 있다.
[0045] 도 4a - 도 4c는 본 개시의 실시예들에 따른, 다양한 모듈들로부터 조립된 챔버 어셈블리들의 개략적인 단면도들이다. 챔버 어셈블리들은, 다양한 플라즈마 모듈들 및 배기 모듈들과 결합된 다양한 프로세스 챔버들을 형성할 수 있다.
[0046] 도 4a에서, 챔버 어셈블리(400)는 프로세스 모듈(402) 및 유동 모듈(404)을 포함한다. 프로세스 모듈(402)은 위에서 설명한 프로세스 모듈(104)과 비슷할 수도 있다. 유동 모듈(404)은 위에서 설명한 유동 모듈(106)과 비슷할 수도 있다. 프로세스 모듈(402)은 지름(408)을 갖는 기판을 지지하도록 설계된 기판 지지 어셈블리(403)를 포함할 수 있다. 유동 모듈(404)은, 프로세스 요건에 따라 프로세스 모듈(402)에서의 프로세스 볼륨의 변동 정도 또는 기판의 수직 이동 범위를 충족시키는 높이(406)를 가질 수 있다.
[0047] 도 4b에서, 챔버 어셈블리(410)는 프로세스 모듈(402) 및 유동 모듈(412)로부터 조립된다. 유동 모듈(412)은 챔버 어셈블리(400)의 유동 모듈(404)의 높이(406)보다 더 짧은 높이(414)를 갖는다. 프로세스가 더 짧은 높이의 기판 수직 운동을 필요로 하거나 기판이 수직으로 움직이지 않을 때는, 더 짧은 높이를 갖는 유동 모듈(412)이 사용될 수도 있다. 더 짧은 높이를 갖는 유동 모듈(412)은 더 적은 공간을 차지하여, 기술적 이점을 제공한다. 기판 수직 이동들이 없는 유동 모듈(412)은 시스템 복잡성을 상당히 감소시킬 수 있어, 제조 및 유지 비용을 절감할 수 있다.
[0048] 도 4c에서, 챔버 어셈블리(420)는 프로세스 모듈(422) 및 유동 모듈(412)로부터 조립된다. 프로세스 모듈(422)은 지름(424)을 갖는 기판을 지지하도록 설계된 기판 지지 어셈블리(426)를 포함한다. 지름(424)은 프로세스 모듈(402)의 지름(408)보다 더 크다. 더 큰 기판을 위해 설계된 프로세스 모듈을 선택함으로써, 다른 모듈들의 지름을 증가시킬 필요 없이 챔버 어셈블리(420)가, 더 큰 기판들을 프로세싱하는 데 사용될 수 있다. 이는 상대적으로 더 작은 언더 볼륨(under volume)이, 더 큰 기판들에 사용되게 함으로써, 챔버 비용을 절감할 뿐만 아니라, 펌프 크기 요건도 감소시키고, 펌핑 시간들도 감소시켜서, 더 큰 기판 스루풋을 가능하게 한다.
[0049] 본 개시의 실시예들은 용량 결합 플라즈마 챔버와 연관하여 설명되지만, 본 개시의 실시예들은 임의의 프로세싱 챔버들에서 대칭성을 개선하고 왜곡을 감소시키는 데 사용될 수도 있다.
[0050] 이상은 본 개시의 실시예들에 관한 것이지만, 본 개시의 기본 범위를 벗어나지 않으면서 본 개시의 다른 그리고 추가 실시예들이 고안될 수도 있으며, 본 개시의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 프로세싱하기 위한 장치로서,
    프로세스 영역을 둘러싸는 프로세스 모듈;
    상기 프로세스 모듈에 연결된 유동 모듈 ― 상기 유동 모듈은 진공배기(evacuation) 채널들 및 대기 볼륨(atmosphere volume)을 한정(define)하고, 상기 진공배기 채널들은 상기 유동 모듈에 부착된 배기 시스템과 상기 프로세스 모듈의 프로세스 영역을 연결함 ―; 및
    지지판 및 샤프트를 포함하는 기판 지지 어셈블리를 포함하며,
    상기 지지판은 상기 지지판 내에 기판을 지지하도록 상기 프로세스 영역에 배치되고, 상기 샤프트는 상기 프로세스 모듈의 프로세스 영역에서부터 상기 유동 모듈의 대기 볼륨까지 연장하는,
    기판을 프로세싱하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 유동 모듈은,
    외벽(outer wall);
    내벽(inner wall);
    상기 외벽과 상기 내벽 사이에 연결된 두 쌍 또는 그보다 많은 쌍들의 방사벽(radial wall)들 ― 상기 진공배기 채널들은 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 의해 상기 내벽과 상기 외벽 사이에 한정됨 ―; 및
    상기 내벽 및 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 커플링된 바닥벽(bottom wall)을 포함하며,
    상기 대기 볼륨은 상기 내벽, 상기 바닥벽, 및 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 의해 한정되는,
    기판을 프로세싱하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 외벽은 둘 또는 그보다 많은 관통 홀들을 포함하고, 각각의 관통 홀은 한 쌍의 방사벽들 사이에 포지셔닝되는,
    기판을 프로세싱하기 위한 장치.
  4. 제 2 항에 있어서,
    상기 외벽과 상기 내벽은 중심축에 관해 동심원이며, 상기 기판 지지 어셈블리는 상기 중심축을 따라 배치되는,
    기판을 프로세싱하기 위한 장치.
  5. 제 4 항에 있어서,
    상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들은 상기 내벽과 상기 외벽 사이에 균등하게 분포되고,
    상기 진공배기 채널들은 상기 중심축에 관해 대칭인,
    기판을 프로세싱하기 위한 장치.
  6. 제 2 항에 있어서,
    상기 유동 모듈의 내벽 및 두 쌍 또는 그보다 많은 쌍들의 방사벽들 위에 배치된 섀시(chassis)를 더 포함하며,
    상기 섀시는 상기 기판 지지 어셈블리의 샤프트를 수용하도록 구성된 중심 개구를 포함하는,
    기판을 프로세싱하기 위한 장치.
  7. 제 6 항에 있어서,
    상기 섀시는 바깥쪽으로 연장하는 날개들을 갖는 디스크형 본체를 포함하는,
    기판을 프로세싱하기 위한 장치.
  8. 제 1 항에 있어서,
    상기 프로세스 모듈 위에 배치된 소스 모듈을 더 포함하며,
    상기 소스 모듈은 상기 프로세스 영역에 하나 또는 그보다 많은 프로세스 가스들을 전달하도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  9. 제 1 항에 있어서,
    상기 프로세스 모듈과 상기 유동 모듈 사이에 배치된 무선 주파수(RF: radio frequency) 개스킷을 더 포함하는,
    기판을 프로세싱하기 위한 장치.
  10. 프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈로서,
    상기 프로세스 챔버의 챔버 본체와 연결되도록 형상화된 외벽;
    내벽;
    상기 외벽과 상기 내벽을 연결하는 두 쌍 또는 그보다 많은 쌍들의 방사벽들 ― 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 의해 상기 내벽과 상기 외벽 사이에 둘 또는 그보다 많은 진공배기 채널들이 한정됨 ―; 및
    상기 내벽 및 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 커플링된 바닥벽을 포함하며,
    상기 내벽, 상기 바닥벽, 및 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들에 의해 대기 볼륨이 한정되는,
    프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈.
  11. 제 10 항에 있어서,
    상기 외벽은 둘 또는 그보다 많은 관통 홀들을 포함하고, 각각의 관통 홀은 한 쌍의 방사벽들 사이에 포지셔닝되는,
    프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈.
  12. 제 10 항에 있어서,
    상기 외벽과 상기 내벽은 중심축에 관해 동심원인,
    프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈.
  13. 제 12 항에 있어서,
    상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들은 상기 내벽과 상기 외벽 사이에 균등하게 분포되고, 상기 진공배기 채널들은 상기 중심축에 관해 대칭인,
    프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈.
  14. 제 10 항에 있어서,
    상기 내벽 및 상기 두 쌍 또는 그보다 많은 쌍들의 방사벽들 위에 배치된 섀시를 더 포함하며,
    상기 섀시는 기판 지지 어셈블리의 샤프트를 수용하도록 구성된 중심 개구를 포함하는,
    프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈.
  15. 제 14 항에 있어서,
    상기 섀시는 바깥쪽으로 연장하는 날개들을 갖는 디스크형 본체를 포함하는,
    프로세스 챔버에서 대칭 유동 경로를 제공하기 위한 유동 모듈.
KR1020167002253A 2014-04-09 2015-03-13 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처 KR102352739B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227001182A KR102392065B1 (ko) 2014-04-09 2015-03-13 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461977222P 2014-04-09 2014-04-09
US61/977,222 2014-04-09
PCT/US2015/020356 WO2015156951A1 (en) 2014-04-09 2015-03-13 Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227001182A Division KR102392065B1 (ko) 2014-04-09 2015-03-13 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처

Publications (2)

Publication Number Publication Date
KR20160141700A true KR20160141700A (ko) 2016-12-09
KR102352739B1 KR102352739B1 (ko) 2022-01-17

Family

ID=54265023

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167002253A KR102352739B1 (ko) 2014-04-09 2015-03-13 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
KR1020227001182A KR102392065B1 (ko) 2014-04-09 2015-03-13 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227001182A KR102392065B1 (ko) 2014-04-09 2015-03-13 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처

Country Status (6)

Country Link
US (2) US10446418B2 (ko)
JP (5) JP6660936B2 (ko)
KR (2) KR102352739B1 (ko)
CN (2) CN105431924B (ko)
TW (1) TWI675132B (ko)
WO (1) WO2015156951A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US11333246B2 (en) 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
CN109563617B (zh) * 2016-08-26 2021-06-08 应用材料公司 低压升降杆腔硬件
US10559451B2 (en) 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
JP6843089B2 (ja) * 2018-04-09 2021-03-17 東京エレクトロン株式会社 結露防止方法および処理装置
US11199267B2 (en) * 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000511700A (ja) * 1996-06-05 2000-09-05 ラム リサーチ コーポレイション プラズマ発生源、真空ポンプ用装備、及び/又は片持梁式基板サポートのような装置モジュールを含む高流量真空処理用チャンバ装置
JP2006165093A (ja) * 2004-12-03 2006-06-22 Tokyo Electron Ltd プラズマ処理装置
KR101361757B1 (ko) * 2011-10-05 2014-02-12 어플라이드 머티어리얼스, 인코포레이티드 대칭적인 플라즈마 프로세스 챔버
KR20150045083A (ko) * 2013-10-18 2015-04-28 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383334A (ja) * 1989-08-28 1991-04-09 Tokyo Electron Ltd プラズマ発生装置及びプラズマ処理装置
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5708556A (en) * 1995-07-10 1998-01-13 Watkins Johnson Company Electrostatic chuck assembly
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
JP4444437B2 (ja) * 2000-03-17 2010-03-31 キヤノンアネルバ株式会社 プラズマ処理装置
US6863835B1 (en) * 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
JP4291499B2 (ja) * 2000-06-28 2009-07-08 パナソニック株式会社 真空処理装置
JP4660926B2 (ja) * 2001-01-09 2011-03-30 東京エレクトロン株式会社 枚葉式の処理装置
JP2002299331A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US6669783B2 (en) * 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
JP4127488B2 (ja) * 2002-07-03 2008-07-30 東京エレクトロン株式会社 プラズマ処理装置
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6902648B2 (en) * 2003-01-09 2005-06-07 Oki Electric Industry Co., Ltd. Plasma etching device
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
JP5050369B2 (ja) * 2006-03-06 2012-10-17 東京エレクトロン株式会社 処理装置
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
JP2008060258A (ja) * 2006-08-30 2008-03-13 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
US7732728B2 (en) * 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
WO2009099660A2 (en) * 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP2010174779A (ja) * 2009-01-30 2010-08-12 Hitachi High-Technologies Corp 真空処理装置
JP2010186891A (ja) * 2009-02-12 2010-08-26 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置のメンテナンス方法及びプラズマ処理装置の組み立て方法
JP5558035B2 (ja) * 2009-06-18 2014-07-23 三菱重工業株式会社 プラズマ処理装置及び方法
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR102164678B1 (ko) * 2009-08-31 2020-10-12 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20130334199A1 (en) 2011-03-01 2013-12-19 Applied Materials, Inc. Thin heated substrate support
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US10586686B2 (en) * 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR20130086806A (ko) * 2012-01-26 2013-08-05 삼성전자주식회사 박막 증착 장치
JP2013179504A (ja) * 2012-02-29 2013-09-09 Kyocera Crystal Device Corp 圧電デバイス
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US8895452B2 (en) * 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US9449794B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9082590B2 (en) * 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10170279B2 (en) * 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
WO2015119737A1 (en) 2014-02-06 2015-08-13 Applied Materials, Inc. Inline dps chamber hardware design to enable axis symmetry for improved flow conductance and uniformity
CN105431924B (zh) * 2014-04-09 2020-11-17 应用材料公司 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) * 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) * 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US11333246B2 (en) * 2015-01-26 2022-05-17 Applied Materials, Inc. Chamber body design architecture for next generation advanced plasma technology
JP6449091B2 (ja) * 2015-04-20 2019-01-09 東京エレクトロン株式会社 スリップリング、支持機構及びプラズマ処理装置
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6607795B2 (ja) * 2016-01-25 2019-11-20 東京エレクトロン株式会社 基板処理装置
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
JP6683575B2 (ja) * 2016-09-01 2020-04-22 東京エレクトロン株式会社 プラズマ処理装置
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
JP7083463B2 (ja) * 2018-02-23 2022-06-13 株式会社日立ハイテク 真空処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000511700A (ja) * 1996-06-05 2000-09-05 ラム リサーチ コーポレイション プラズマ発生源、真空ポンプ用装備、及び/又は片持梁式基板サポートのような装置モジュールを含む高流量真空処理用チャンバ装置
JP2006165093A (ja) * 2004-12-03 2006-06-22 Tokyo Electron Ltd プラズマ処理装置
KR101361757B1 (ko) * 2011-10-05 2014-02-12 어플라이드 머티어리얼스, 인코포레이티드 대칭적인 플라즈마 프로세스 챔버
KR20150045083A (ko) * 2013-10-18 2015-04-28 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비

Also Published As

Publication number Publication date
WO2015156951A1 (en) 2015-10-15
JP2023113697A (ja) 2023-08-16
US20150293527A1 (en) 2015-10-15
KR102392065B1 (ko) 2022-04-27
JP6660936B2 (ja) 2020-03-11
US10446418B2 (en) 2019-10-15
JP6936884B2 (ja) 2021-09-22
TW201544637A (zh) 2015-12-01
TWI675132B (zh) 2019-10-21
US10727096B2 (en) 2020-07-28
CN105431924B (zh) 2020-11-17
JP6954982B2 (ja) 2021-10-27
JP2020065061A (ja) 2020-04-23
CN112366128A (zh) 2021-02-12
JP2017518648A (ja) 2017-07-06
JP2020109847A (ja) 2020-07-16
US20190371627A1 (en) 2019-12-05
JP2021168392A (ja) 2021-10-21
KR102352739B1 (ko) 2022-01-17
CN112366128B (zh) 2024-03-08
KR20220010585A (ko) 2022-01-25
JP7328280B2 (ja) 2023-08-16
CN105431924A (zh) 2016-03-23

Similar Documents

Publication Publication Date Title
JP7328280B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
KR102423749B1 (ko) 대칭적인 플라즈마 프로세스 챔버
US9287147B2 (en) Substrate support with advanced edge control provisions
US20180233327A1 (en) Apparatus with concentric pumping for multiple pressure regimes
TWI576910B (zh) 具有用以去耦合離子及自由基控制之源的半導體處理系統
TWI731994B (zh) 用於介電蝕刻腔室之腔室填充物套組

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant