KR19990013438A - Hdp-cvd 시스템에서의 입자 성능을 개선하기 위한시즈닝 프로세스에서의 산소 대 실란 비율 조절 - Google Patents

Hdp-cvd 시스템에서의 입자 성능을 개선하기 위한시즈닝 프로세스에서의 산소 대 실란 비율 조절 Download PDF

Info

Publication number
KR19990013438A
KR19990013438A KR1019980023584A KR19980023584A KR19990013438A KR 19990013438 A KR19990013438 A KR 19990013438A KR 1019980023584 A KR1019980023584 A KR 1019980023584A KR 19980023584 A KR19980023584 A KR 19980023584A KR 19990013438 A KR19990013438 A KR 19990013438A
Authority
KR
South Korea
Prior art keywords
chamber
gas
substrate
seasoning
vacuum chamber
Prior art date
Application number
KR1019980023584A
Other languages
English (en)
Other versions
KR100611610B1 (ko
Inventor
지안민 키아
치우 찬
다이아나 찬
시시 레웅
터굿 사힌
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19990013438A publication Critical patent/KR19990013438A/ko
Application granted granted Critical
Publication of KR100611610B1 publication Critical patent/KR100611610B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

본 발명은 기판 처리 챔버내에서 증착된 막에 흡수되는 오염물(예를 들어, 플루오르)의 수준을 감소하는 개선된 방법에 관한 것이다. 시즈닝 층이 챔버 내부의 벽 또는 절연 영역에 흡수될 수 있는 오염물을 커버하도록 기판 처리 챔버에서 증착된다. 증착된 시즈닝 층은 종래 시즈닝 층보다 기판 처리 챔버의 내부의 세라믹부에 보다 양호하게 부착되고, 그러므로 챔버에서 순차적 증착막이 기판 상에 증착되는 동안 덜 깨지거나 박편화된다. 상기 시즈닝 층은 1.4:1 내지 2.4:1 유량비의 O2: SiH4를 포함하는 가스로 이루어진 플라즈마를 형성함으로써 형성된다.

Description

HDP-CVD 시스템에서의 입자 성능을 개선하기 위한 시즈닝 프로세스에서의 산소 대 실란 비율 조절
본 발명은 집적 회로의 제조에 관한 것으로서, 특히 챔버내의 입자를 감소시킴으로써 기판 처리 챔버에서 증착되는 막의 품질을 개선하고 오염 제어를 개선하기 위한 방법 및 장치를 포함하는 기술을 제공한다.
실리콘 산화물과 다른 층의 화학 기상 증착(CVD) 동안, 처리 챔버 내부에 방출되는 증착 가스는 처리 챔버의 벽과 같은 영역에 원치않는 증착물을 초래할 수 있다. 제거되지 않는다면, 이런 원치않는 증착물은 순차적 처리 단계를 방해하고 웨이퍼 수율에 악영향을 끼칠 수 있는 입자 소스가 된다.
상기 문제를 방지하기 위하여, 챔버의 내부면은 챔버 벽과 처리 챔버의 유사한 영역으로부터 원치않는 증착 재료를 제거하기 위해 주기적으로 세정된다. 이런 절차는 표준 챔버 건식 세정 작업으로서 수행되는데, 여기에서 삼플루오르화 질소(NF3)와 같은 에천트 가스가 챔버 벽과 다른 영역으로부터 증착 재료를 제거(에칭)하는데 사용된다. 건식 세정 작업 동안, 챔버 내부는 에천트 가스가 증착 재료와 반응하여 챔버 벽으로부터 증착 재료를 제거하도록 에천트 가스로부터의 플라즈마에 노출된다. 상기 세정 절차는 일반적으로 웨이퍼 마다 또는 n개 웨이퍼마다 증착 단계 사이에 수행된다.
그러나, 세정 단계는 본질적으로 입자 축적의 원인이 될 수 있다. 세정 플라즈마로부터의 플루오르는 챔버 벽, 또는 세라믹 라이닝 또는 다른 절연 재료를 포함하는 영역과 같은 챔버의 다른 영역에 흡수되거나 포획될 수 있다. 포획된 플루오르는 순차적 처리 단계 동안 방출될 수 있고(예를 들면, 고밀도 플라즈마 CVD(HDP-CVD) 단계에서 플라즈마로부터의 조성물과 반응함으로써), 순차적으로 증착되는 실리콘 산화물 또는 다른 층에 흡수될 수 있다.
플루오르 흡수를 방지하고 금속 플루오르화물의 확산과 같은 챔버 벽 내의 다른 오염으로부터의 보호를 제공하기 위해, CVD 챔버는 종종 건식 세정 작업 이후에 시즈닝(seasoning)된다. 상기 시즈닝은 기판이 처리를 위해 챔버내로 유입되기 이전에 챔버 벽에 얇은 실리콘 산화물 층을 증착하는 단계를 포함한다. 상기 증착된 실리콘 산화물 층은 챔버 벽을 커버하여 순차적 처리 단계를 방해할 수 있는 오염물 종류를 감소시킨다. 시즈닝 층의 증착이 완료된 후, 챔버는 이미 개시된 바와 같은 다른 세정 작업에 의해 세정되기 이전에 1 내지 n개의 기판 증착 단계 동안 사용되고 다시 시즈닝된다.
어플라이드 머티어리얼스사에 의해 제조되고 주로 알루미늄으로 제조되는 HDP-CVD 챔버와 같은 일부 증착 챔버를 시즈닝하는데 사용되고, 조합된 유도 및 용량성 결합을 갖는 고밀도 플라즈마 CVD 반응기로 명명되고 1994년 4월 26일에 제출되고 노왁, 페어배른 및 레데커에 의한 미국 특허 출원 제08/234,746호에 개시된, 한 특별한 방법은 실란(SiH4), 산소(O2) 및 아르곤(Ar)의 처리 가스로부터 플라즈마를 형성하는 단계를 포함한다. 이런 방법에 사용되는 O2대 SiH4의 바람직한 유량비는 1.375:1이다(O2는 110 sccm으로 유입되고, SiH4는 80 sccm으로 유입되며, Ar은 20 sccm으로 유입된다). 이런 시즈닝 방법은 이미 언급된 HDP-CVD 챔버뿐만 아니라 다른 챔버에서 오염으로부터 순차적 처리 단계들을 적절히 보호하는데 사용되어 왔다.
반도체 소자의 기하학적 형태는 소자가 수십년 이전에 소개되었기 때문에 크기가 극적으로 감소되고 있다. 또한, 이런 감소는 집적 회로가 일반적으로 칩에 내장할 소자의 수가 매 2년마다 두배가 된다는 것을 의미하는 2년/절반 크기 룰(종종 무어의 법칙으로 불리운다)에 따르기 때문이다. 오늘날의 웨이퍼 제조 설비는 기계적으로 0.5 미크론, 심지어 0.35 미크론의 패턴 크기를 가지는 집적 회로를 생산하고 있으며, 미래의 설비는 곧 보다 더 작은 기하학적 형태를 가지는 소자를 생산하게 될 것이다. 소자 크기가 더 작아지고 집적 밀도가 증가함에 따라서, 업자들에 의해 이전에는 고려되지 않았던 문제들이 관심사가 되고 있다. 또한, 증착 층이 엄격한 제조자 공정 제어 규격에 부합되도록 하기 위해 입자 발생과 오염 제어와 같은 기준에 따른 개선된 제어가 요구된다. 작은 크기의 기하학적 형태를 갖는 소자에 의해 필요로 되는 처리 요구들을 달성하기 위하여, 기판 처리 장비를 위한 새로운 기술이 끊임없이 개발되고 있다. 일부의 새로운 기술 중에서, 증착 챔버의 내부 표면은 대부분 세라믹 재료로 제조되거나 코팅된다. 예를 들면, 어플라이드 머티어리얼스사에 의해 제조된 더 새로운 울트라 HDP-CVD 챔버에서, 챔버 내부 표면의 대략 50%는 알루미늄보다는 세라믹 또는 일부 다른 도전성 재료로 이루어진다.
어떤 경우에, 종래 기판 처리 장비와 함께 사용된 절차 및 기술은 세라믹 챔버와 같은 새로운 반응기 기술을 사용하더라도 더 이상 최적의 결과를 제공하지 않는다. 따라서, 새로운 기술과 함께 사용되고 그런 작업을 수행하기 위한 방법들이 지속적으로 연구되고 있다.
발명의 요약
본 발명은 기판 처리 챔버내에서의 막 증착 동안 발생되는 입자와 다른 오염물의 수준을 감소시키는 방법을 제공한다. 본 발명은 특히 적어도 부분적으로 세라믹 또는 유사한 재료로 라이닝되는 내부 표면을 포함하는 기판 처리 장비에서 입자 수와 오염물 수준을 감소하는데 유용하다.
본 발명에 따르면, 시즈닝 층이 챔버내로 유입되는 O2와 SiH4의 가스 흐름으로부터 플라즈마를 형성함으로써 챔버의 내부 표면부 위에 증착된다. O2와 SiH4의 유량비는 1.4:1 내지 2.4:1의 O2: SiH4로 조심스럽게 제어된다. 이런 비율에서 상기 증착된 시즈닝 층은 이하 더욱 상세히 개시되는 바와 같이 상당히 안정화되고 실리콘도 산화물도 풍부하지않다. 바람직한 실시예에서, 아르곤(Ar)은 O2및 SiH4와 함께 유입되고, O2:SiH4의 유량비는 1.6:1 내지 2.2:1 사이이다.
본 발명의 목적과 장점의 추가 이해는 첨부한 도면과 관련하여 취해진 계속되는 상세한 설명을 참조하여 이루어진다.
본 발명의 목적은 CVD 챔버와 같은 기판 처리 챔버의 내부에 안정화된 시즈닝 층의 증착을 제공하는 것이다.
도 1a는 본 발명에 따른 고밀도 화학 기상 증착 시스템의 일실시예를 도시하는 단면도.
도 1b는 도 1a의 바람직한 CVD 처리 챔버와 협력하여 사용될 수 있는 가스 링의 단면도.
도 1c는 도 1a의 바람직한 CVD 처리 챔버와 협력하여 사용될 수 있는 모니터와 라이트 펜의 개략도.
도 1d는 도 1a의 바람직한 CVD 처리 챔버를 제어하는데 사용되는 바람직한 프로세스 제어 컴퓨터 프로그램 제품의 순서도.
도 2는 본 발명의 방법에 따라 시즈닝 막을 형성하는데 사용되는 프로세스를 설명하는 순서도.
도 3은 기판 처리 동안 발생된 입자 수에 대한 O2/SiH4유량비의 효과를 도시하는 그래프.
도 4a-4c는 본 발명의 방법에 따른 이익 유무에 따라 증착된 시즈닝 막에 대한 FTIR 분석을 묘사하는 그래프.
* 도면의 주요부분에 대한 부호의 설명 *
10 : CVD 시스템 13 : 챔버
62 : 메모리 32A, 32B : SRF 발생기
32C : BRF 발생기
Ⅰ. 도입
본 발명은 CVD 챔버와 같은 기판 처리 챔버의 내부에 안정화된 시즈닝 층의 증착을 제공하는 것이다. 증착된 시즈닝 층은 일부 기판 처리 챔버에 일반적으로 사용되는 세라믹과 같은 재료에 잘 부착하는 개선된 부착 특성을 가진다. 본 발명에 따른 상기 시즈닝 층의 개선된 부착 특성은 개선된 품질의 실리콘 산화물, 플루오르실리케이트 글라스 및 다른 막이 기판 처리 챔버내에서 증착될 수 있도록 한다. 본 발명은 일반적 디자인의 CVD 챔버 및 다른 기판 처리 챔버에 사용되는 처리 단계에서 증착되는 절연물과 다른 층내의 오염물을 감소하는데 사용될 수 있다.
Ⅱ. 바람직한 기판 처리 시스템
도 1a는 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 시스템(10)의 일실시예를 도시하는데, 거기에서 본 발명에 따른 유전체 층이 증착될 수 있다. 시스템(10)은 챔버(13), 진공 시스템(70), 소스 플라즈마 시스템(80A), 바이어스 플라즈마 시스템(80B), 가스 운반 시스템(33), 및 원격 플라즈마 세정 시스템(50)을 포함한다.
상기 챔버(13)의 상부는 알루미나 또는 알루미늄 질화물과 같은 유전체 재료로 제조되는 돔(14)을 포함한다. 상기 돔(14)은 플라즈마 처리 영역(16)의 상부 경계를 한정한다. 플라즈마 처리 영역(16)은 하부에서 기판(17)의 상부면과 기판 지지용 부재(18)에 인접한다.
가열기 플레이트(23)와 냉각 플레이트(24)가 돔(14) 위에 열적으로 결합되어 놓여진다. 가열기 플레이트(23)와 냉각 플레이트(24)는 돔 온도의 제어가 100 내지 200℃ 이상의 약 ±10℃내에 있도록 한다. 이것은 여러 공정에 대한 돔 온도의 최적화를 허용한다. 예를 들면, 증착 공정보다 세정 또는 에칭 공정을 위해 더 높은 온도로 돔을 유지하는 것이 바람직하다. 또한 돔 온도의 정확한 제어는 챔버내의 박편 또는 입자 수를 감소시키고 증착된 층과 기판 사이의 부착성을 개선시킨다.
챔버(13)의 하부는 챔버를 진공 시스템에 결합하는 몸체 부재(22)를 포함한다. 기판 지지용 부재(18)의 베이스부(21)는 몸체 부재(22) 위에 장착되고, 몸체부재와 함께 연속적 내부 표면을 형성한다. 기판은 챔버(13)의 측면에서 삽입/제거 개구부(도시 안됨)를 통해 로봇 블래이드(도시 안됨)에 의해 챔버(13)의 내부와 외부로 이송된다. 리프트 핀(도시 안됨)은 기판이 상부 로딩 위치(57)에 있는 로봇 블래이드로부터 기판 지지용 부재(18)의 기판 수용부(19) 위에 배치되는 하부 처리 위치(56)로 기판을 이동하도록 모터(또한 도시 안됨)의 제어하에 상승하고 하강한다. 기판 수용부(19)는 기판 처리 동안 기판 지지용 부재(18)에 기판을 고정시키는 정전기 척(20)을 포함한다.
진공 시스템(70)은 트윈블래이드 드로틀 밸브(26)를 하우징하고 게이트 밸브(27)와 터보 몰레큘러 펌프(28)에 부착되는 드로틀 몸체(25)를 포함한다. 드로틀 몸체(25)는 가스 흐름에 대한 최소 차단을 제공하며, 1995년 12월 12일에 제출된 미국 특허 출원 일련번호 제08/574,839호에 개시된 바와 같이 대칭적 펌핑을 제공한다. 게이트 밸브(27)는 드로틀 몸체(25)로부터 펌프(28)를 절연할 수 있고, 또한 드로틀 밸브(26)가 완전히 개방될 때 배기 흐름을 제한함으로써 챔버 압력을 제어할 수 있다. 상기 드로틀 밸브, 게이트 밸브 및 터보 몰레큘러 펌프의 구성은 1밀리토르 내지 2 토르 사이의 정확하고 안정한 챔버 압력의 제어를 허용한다.
상기 소스 플라즈마 시스템(80A)은 돔(14) 위에 장착되는 상부 코일(29)과 측면 코일(30)을 포함한다. 대칭적인 접지 시일드(도시 안됨)는 코일 사이의 전기적 결합을 감소시킨다. 상부 코일(29)은 상부 소스 RF(SRF) 발생기(31A)에 의해 파워가 공급되는 반면, 측면 코일(30)은 측면 SRF 발생기(31B)에 의해 파워가 공급되어, 각각의 코일을 위한 독립적 파워 레벨과 동작 주파수를 허용한다. 이런 이중 코일 시스템은 챔버(13)내의 방사상 이온 밀도의 제어를 허용하고, 그결과 플라즈마 균일성을 개선시킨다. 측면 코일(30)과 상부 코일(29)은 전형적으로 유도적으로 구동되어 상보형 전극을 요구하지 않는다. 특정 실시예에서, 상기 상부 소스 RF 발생기(31A)는 공칭적으로 2㎒에서 2,500 와트까지의 RF 파워를 공급하고, 측면 소스 RF 발생기(31B)는 공칭적으로 2㎒에서 5,000 와트까지의 RF 파워를 공급한다. 상기 상부 및 측면 RF 발생기의 동작 주파수는 플라즈마 발생 효율을 개선하기 위해 공칭 동작 주파수로부터 오프셋 될 수 있다(예를 들면, 각각 1.7-1.9 ㎒ 및 1.9-2.1 ㎒까지).
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 발생기(31C)와 바이어스 정합 회로(32C)를 포함한다. 상기 바이어스 플라즈마 시스템(80B)은 기판 일부(17)를 상보형 전극으로 기능하는 몸체 부재(22)에 유도성 결합한다. 상기 바이어스 플라즈마 시스템(80B)은 기판의 표면으로 소스 플라즈마 시스템(80A)에 의해 형성된 플라즈마 종(예를 들어, 이온)의 전달을 증진하는데 기여한다. 특정 실시예에서, 바이어스 RF 발생기는 13.56 ㎒에서 5,000 와트까지의 RF 전력을 공급한다.
RF 발생기(31A와 31B)는 디지털적으로 제어되는 합성 장치를 포함하고, 1.8 내지 2.1 ㎒의 주파수 범위에 걸쳐 동작한다. 각각의 발생기는 챔버와 코일로부터 발생기에 다시 반사되는 파워를 측정하는 RF 제어 회로(도시 안됨)를 포함하며, 당업자들에 의해 이해되는 바와 같이 최저 반사된 파워를 얻기 위하여 동작 주파수를 조절한다. RF 발생기는 전형적으로 50 옴의 특성 임피던스를 갖는 부하에서 동작하도록 디자인된다. RF 파워는 상기 발생기와 서로 다른 특성 임피던스를 가지는 부하로부터 반사될 수 있다. 이것은 부하에 전달되는 파워를 감소시킬 수 있다. 부가적으로 부하로부터 다시 발생기로 반사된 파워는 발생기에 과부하를 주어 손상을 초래할 수 있다. 다른 인자들 중에서도 플라즈마 이온 밀도에 의존하여 플라즈마의 임피던스가 5옴 미만으로부터 900옴 이상까지의 범위가 될 수 있고, 반사된 파워가 주파수의 함수가 될 수 있기 때문에, 상기 반사된 파워에 따른 발생기 주파수의 조절은 RF 발생기로부터 플라즈마에 전달되는 파워를 증가시켜 발생기를 보호한다. 반사된 파워를 감소하여 효율성을 개선하는 다른 방법은 정합 회로의 사용이다.
정합 회로(32A와 32B)는 이들의 개별 코일(29와 30)과 발생기(31A와 31B)의 출력 임피던스를 정합시킨다. 상기 RF 제어 회로는 부하가 변할 때 발생기를 부하에 정합하도록 정합 회로내의 캐패시터 값을 변화시킴으로써 정합 회로 둘다를 동조할 수 있다. 상기 RF 정합 회로는 부하로부터 다시 발생기로 반사된 파워가 특정 한계를 초과할 때 정합 회로를 동조할 수 있다. 일정한 정합을 제공하고, RF 제어 회로의 정합 회로의 동조를 효과적으로 억제하는 한가지 방법은 반사된 파워의 어떤 기대값 상에서 반사된 파워 한계를 설정하는 것이다. 이것은 가장 최근의 조건으로 정합 회로 상수를 유지함으로써 일부 조건하에서 플라즈마를 안정화하는데 도움을 준다.
또한 다른 방법이 플라즈마를 안정화하는데 도움을 줄 수 있다. 예를 들면, 상기 RF 제어 회로는 부하(플라즈마)에 운반된 전력을 결정하는데 사용될 수 있고, 층의 증착 동안 실질적으로 일정하게 운반된 파워를 유지하도록 발생기 출력 파워를 증가 또는 감소할 수 있다.
가스 운반 시스템(33)은 가스 운반 라인(38)(단지 일부가 도시됨)을 통해 기판을 처리하기 위해 몇몇 소스로부터 챔버에 가스를 공급한다. 가스들은 가스 링(37)과 상부 노즐(45)을 토해 챔버(13)내로 유입된다. 도 1b는 가스 링(37)의 부가적 상세를 보여주는 챔버(13)의 간략화된 부분 단면도이다.
일실시예에서, 제 1 및 제 2 가스 소스(34A와 34B) 및 제 1 및 제 2 가스 유량 제어기(35A'와 35B')는 가스 운반 라인(38)(단지 일부가 도시됨)을 통해 가스 링(37)내의 링 플리넘(36)에 가스를 공급한다. 가스 링(37)은 기판에 균일한 가스 흐름을 제공하는 다수의 소스 가스 노즐(39)(단지 일부가 도시됨)을 가진다. 노즐 길이와 노즐 각도는 개별 챔버에서의 특별한 처리를 위한 균일성 분포와 가스 사용 효율성을 목적에 맞게 만들기 위해 변화될 수 있다. 바람직한 실시예에서, 가스 링(37)은 12개의 소스 가스 노즐을 가진다.
또한 가스 링(37)은 바람직한 실시예에서 소스 가스 노즐(39)과 동일 평면상에 있고 소스 가스 노즐 보다 더 짧고 일실시예에서 몸체 플리넘(41)으로부터 가스를 수용하는 다수의 산화제 가스 노즐(40)을 가진다. 일부 실시예에서 챔버(13)내로 가스들을 주입하기 이전에 소스 가스와 산화제 가스를 혼합하지 않는 것이 바람직하다. 다른 실시예에서, 산화제 가스와 소스 가스는 몸체 플리넘(41)과 가스 링 플리넘(36) 사이에 개구(도시 안됨)를 제공함으로써 가스를 챔버(13)내로 주입하기 이전에 혼합될 수 있다. 일실시예에서, 제 3 및 제 4 소스(34C와 34D) 그리고 제 3 및 제 4 가스 유량 제어기(35C와 35D')가 가스 운반 라인(38)을 통해 몸체 플리넘에 가스를 공급한다. 부가적 밸브, 이를테면 43B(다른 밸브는 도시안됨)가 유량 제어기로부터 챔버로의 가스를 차단할 수 있다.
일부 실시예에서, 실란 또는 실리콘 테트라플루오르화물(SiF4)과 같은 가연성의 독성 또는 부식성 가스가 사용될 수 있다. 이런 경우에, 증착후 가스 운반 라인에 잔류하는 가스를 제거하는 것이 바람직하다. 이것은 예를 들어 운반 라인(38A)과 배출 운반 라인(38A)으로부터 진공 포어라인(44)까지 챔버(13)를 절연하기 위해 밸브(43B)와 같은 3통로 밸브를 사용하여 달성될 수 있다. 상기 3통로 밸브는 배출되지않은 가스 운반 라인(3통로 밸브와 챔버 사이)의 부피를 최소화하기 위해 가능한 챔버(13)에 가깝게 배치될 수 있다. 부가적으로 2통로(온-오프) 밸브(도시 안됨)가 MFC와 챔버 사이 또는 가스 소스와 MFC 사이에 배치될 수 있다.
도 1a를 참조하면, 또한 챔버(13)는 상부 노즐(45)과 상부 배출 장치(46)를 가진다. 상부 노즐(45)과 상부 배출 장치(46)는 막 균일성을 개선하는 가스의 상부 및 측면 흐름의 개별 제어를 허용하고, 막의 증착과 도핑 파라미터의 미세 조절을 허용한다. 상부 배출 장치(46)는 상부 노즐(45) 근처의 환형 개구부이다. 일실시예에서, 제 1 가스 소스(34A)는 소스 가스 노즐(39)과 상부 노즐(45)에 공급되는 실란 가스이다. 소스 노즐 유량 제어기(MFC)(35A')는 소스 가스 노즐(39)에 운반되는 실란의 양을 제어하고 상부 노즐 MFC(35A)는 상부 가스 노즐(45)에 운반되는 실란의 양을 제어한다. 유사하게, 2개의 MFC(35B와 35B')가 소스 34B와 같은 단일 산소 소스로부터 상부 배출장치(46)와 산화제 가스 노즐(40) 둘다로의 산소 흐름을 제어하는데 사용될 수 있다. 상기 상부 노즐(45)과 상부 배출 장치(46)에 공급된 가스는 챔버(13)내로 가스를 흘리기 이전에 분리하여 유지될 수 있고, 또는 챔버(13)내로 흐르기 이전에 상부 플리넘(48)에서 혼합될 수 있다. 동일한 가스의 개별 소스가 챔버의 여러 부분에 공급되는데 사용될 수 있다.
원격 마이크로파 발생 플라즈마 세정 시스템(50)은 챔버 부품으로부터 증착 찌꺼기를 주기적으로 세정하기 위해 사용된다. 상기 세정 시스템은 반응기 공동(53)에서 몰레큘러 포어라인, 질소 삼플루오르화물, 다른 플루오르 탄소 또는 동등물과 같은 세정 가스 소스(34E)로부터 플라즈마를 형성하는 원격 마이크로파 발생기(51)를 포함한다. 상기 플라즈마로부터 얻어지는 반응종은 어플리케이터 튜브(55)를 통해 세정 가스 공급 포트(54)를 통과하여 챔버(13)로 운반된다. 상기 세정 플라즈마를 구속하는데 사용되는 재료(예를 들면, 공동(53)과 어플리케이터 튜브(55)는 플라즈마에 의한 공격에 저항성이 있어야 한다. 반응기 공동(53)과 공급 포트(54) 사이의 거리는 바람직한 플라즈마 종의 농도가 반응기 공동(53)으로부터 거리에 관련하여 쇠퇴하기 때문에 가능한 짧게 유지되어야 한다. 원격 공동에서의 세정 플라즈마의 발생은 효율적인 마이크로파 발생기의 사용을 허용하고 챔버 부품이 온도, 복사 또는 인시튜 플라즈마에 존재할 수 있는 글로우 방전의 충격을 받지않도록 한다. 결국, 인시튜 플라즈마 세정 처리가 요구될 수 있을 때 정전기 척(20)과 같이 상당히 민감한 부품은 더미 웨이퍼로 커버되거나 보호될 필요가 없다.
시스템 제어기(60)는 시스템(10)의 동작을 제어한다. 바람직한 실시예에서, 제어기(60)는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시 안됨) 및 카드 랙(도시안됨)과 같은 메모리(62)를 포함한다. 상기 카드 랙은 단일 보드 컴퓨터(SBC : single-board computer)(도시안됨), 아날로그와 디지털 입/출력 보드(도시 안됨), 인터페이스 보드(도시 안됨), 및 스텝퍼 모터 제어기 보드(도시 안됨)를 포함할 수 있다. 상기 시스템 제어기는 보드, 카드 케이지, 및 접속기 크기와 타입을 정의하는 Versa Modular European (VME) 표준에 따른다. 상기 VME 표준은 또한 16비트 데이터 버스와 24비트 어드레스 버스를 가지는 버스 구조를 정의한다. 시스템 제어기(31)는 하드 디스크 드라이브에 저장된 컴퓨터 프로그램 또는 플로피 디스크에 저장된 프로그램과 같은 다른 프로그램에서 동작한다. 상기 컴퓨터 프로그램은 특별한 프로세스의 타이밍, 가스 혼합, RF 파워 레벨 및 다른 파라미터를 규정한다. 사용자와 시스템 제어기 사이의 인터페이스는 도 1c에 도시된 바와 같이 음극선관(CRT)과 같은 모니터(65), 및 라이트 펜(66)을 통해 이루어진다.
도 1c는 도 1a의 바람직한 CVD 처리 챔버와 관련하여 사용된 바람직한 시스템 사용자 인터페이스의 일부를 도시한다. 시스템 제어기(60)는 메모리(62)에 결합된 프로세서(61)를 포함한다. 바람직하게, 메모리(62)는 하드 디스크 드라이브가 될 수 있지만, 물론 ROM, PROM 등과 같은 다른 종류의 메모리가 될 수 있다.
시스템 제어기(60)는 컴퓨터 프로그램의 제어하에서 동작한다. 상기 컴퓨터 프로그램은 특별한 프로세스의 타이밍, 온도, 가스 흐름, RF 파워 레벨 및 다른 파라미터를 규정한다. 사용자와 시스템 제어기 사이의 인터페이스는 도 1c에 도시된 바와 같이 CRT 모니터(65)와 라이트 펜(66)을 통해 이루어진다. 바람직한 실시예에서, 2개의 모니터(65와 65A)가 사용되는데, 하나는 조종자를 위해 청정실 벽(65)내에 장착되고 다른 하나는 서비스 기술자를 위해 벽(65A)의 후방에 장착된다. 둘다의 모니터는 동시에 동일한 정보를 표시하지만, 단지 하나의 라이트 펜(예를 들어, 66)이 인에이블된다. 특별한 스크린 또는 기능을 선택하기 위하여, 조종자는 디스플레이 스크린 영역을 터치하고 펜 위의 버턴(도시 안됨)을 누른다. 터치된 영역은 그 영역의 색깔을 변화시키거나 새로운 메뉴를 표시함으로써 라이트 펜에 의해 선택되는 것을 확실하게 한다.
상기 컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 어떤 일반적 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적당한 프로그램 코드는 일반적 텍스트 에디터, 및 컴퓨터의 메모리 시스템과 같은 저장 또는 내장된 컴퓨터 사용가능 매체를 사용하여 단일 파일, 또는 다중 파일내에 기입된다. 기입된 코드 텍스트가 고급 언어로 작성된다면, 상기 코드는 컴파일링되며, 다음에 얻어진 컴파일러 코드는 미리 컴파일링된 윈도우 라이브러리 루틴의 객체 코드와 링크된다. 링크된 컴파일링 객체 코드를 실행하기 위하여, 상기 시스템 사용자는 상기 객체 코드를 호출하여, 상기 컴퓨터 시스템이 메모리내로 코드를 로딩하도록 하고, 코드로부터 CPU가 판독하고 프로그램에 지정된 업무를 수행하도록 코드를 실행한다.
도 1d는 컴퓨터 프로그램(300)의 계층적 제어 구조의 예시적 블록도를 도시한다. 사용자는 라이트 펜 인터페이스를 사용함으로써 CRT 모니터에 표시되는 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택기 서브루틴(310)에 기입한다. 상기 처리 세트는 특정 처리를 수행하는데 필요한 처리 파라미터의 소정 세트이고, 미리 정의된 세트 번호에 의해 식별된다. 처리 선택기 서브루틴(310)은 (ⅰ) 다중 챔버 시스템에서 요구되는 처리 챔버, 및 (ⅱ) 요구된 처리를 수행하기 위해 처리 챔버를 동작하는데 필요한 요구된 처리 파라미터 세트를 식별한다. 특정 처리를 수행하기 위한 처리 파라미터는 처리 가스 조성과 흐름 속도와 같은 처리 조건, 온도, 압력, RF 파워 레벨과 같은 플라즈마 조건, 및 챔버 돔 온도에 관련하고, 처방전의 형태로 사용자에게 제공된다. 상기 처방전에 의해 상세된 파라미터는 라이트 펜/CRT 모니터 인터페이스를 사용하여 기입된다.
상기 처리를 모니터링하기 위한 신호는 시스템 제어기의 아날로그 입력 및 디지털 입력 보드에 의해 제공되고 상기 처리를 제어하기 위한 신호는 시스템 제어기(60)의 아날로그 출력 및 디지털 출력 보드에서 출력된다.
처리 시퀀서 서브루틴(320)은 식별된 처리 챔버와 처리 선택기 서브루틴(310)으로부터의 처리 파라미터 세트를 수용하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호와 처리 챔버 번호를 기입할 수 있고, 또는 한 사용자가 다중 처리 세트 번호와 처리 챔버 번호를 기입할 수 있고, 그래서 시퀀서 서브루틴(320)은 요구된 시퀀스로 선택된 처리를 스케줄링하도록 동작한다. 바람직하게, 시퀀서 서브루틴(320)은 (ⅰ) 상기 챔버가 사용되고 있는지를 결정하기 위해 처리 챔버의 동작을 모니터링하는 단계, (ⅱ) 어떤 처리가 사용되고 있는 챔버에서 수행되는지를 결정하는 단계, 및 (ⅲ) 처리 챔버의 유효성과 수행될 처리 형태에 기초하여 요구된 처리를 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. 폴링과 같은 처리 챔버를 모니터링하는 종래 방법이 사용될 수 있다. 처리가 실행될 수 있는 스케줄링 때, 시퀀서 서브루틴(320)은 선택된 처리를 위한 요구된 처리 조건과 비교해볼 때 사용되는 처리 챔버의 현재 조건, 또는 각각의 특별한 사용자 기입 요구서의 시기, 또는 시스템 프로그래머가 스케줄링 우선권을 결정하기 위해 포함하기를 원하는 어떤 다른 관련 인자를 고려하여 디자인될 수 있다.
시퀀서 서브루틴(320)이 다음에 실행되어야 하는 처리 챔버와 처리 세트 조합을 결정한 후, 시퀀서 서브루틴(320)은 특별한 처리 세트 파라미터를 챔버 관리기 서브루틴(330A-C)에 전달함으로써 처리 세트의 실행을 초래하고, 시퀀서 서브루틴(320)에 의해 결정된 처리 세트에 따라 챔버(13)와 다른 챔버(도시 안됨)에서의 다중 처리 작업을 제어한다.
챔버 구성 요소 서브루틴의 예는 기판 위치 설정 서브루틴(340), 처리 가스 제어 서브루틴(350), 압력 제어 서브루틴(360) 및 플라즈마 제어 서브루틴(370)이다. 당업자는 챔버(13)에서 수행될 것이 요구되는 처리가 무엇인가에 의존하여 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 이해할 것이다. 동작중, 챔버 관리기 서브루틴(330A)은 실행되는 특별한 처리에 따라 처리 구성 요소 서브루틴을 스케줄링 또는 호출한다. 챔버 관리기 서브루틴(330A)에 의한 스케줄링은 실행될 처리 챔버와 처리 세트의 스케줄링에서 시퀀서 서브루틴(320)에 의해 사용되는 방식으로 수행된다. 전형적으로, 챔버 관리기 서브루틴(330A)은 여러 챔버 부품을 모니터링하고, 부품이 실행될 처리 세트에 대한 처리 파라미터에 기초하여 동작될 것이 필요한지의 여부를 결정하며, 상기 모니터링과 결정 단계에 응답하여 챔버 구성 요소 서브루틴의 실행을 초래하는 단계를 포함한다.
이제 특별한 챔버 구성요소 서브루틴의 동작이 도 1d를 참조하여 기술될 것이다. 기판 위치 설정 서브루틴(340)은 기판 지지용 부재(18) 위에 기판을 로딩하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 또한 기판 위치 설정 서브루틴(340)은 다른 공정이 완료된 후 다중 챔버 시스템의 PECVD 반응기 또는 다른 반응기로부터 챔버(13)로의 기판의 이송을 제어할 수 있다.
처리 가스 제어 서브루틴(350)은 처리 가스 구성과 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 서브루틴(350)은 안전 차단 밸브의 개방/밀폐 위치를 제어하며, 또한 요구된 가스 흐름 속도를 얻기 위하여 유량 제어기를 램핑 업/다운시킨다. 처리 가스 제어 서브루틴(350)을 포함하여 모든 챔버 구성요소 서브루틴은 챔버 관리기 서브루틴(330A)에 의해 호출된다. 서브루틴(350)은 요구된 가스 흐름 속도에 관련된 챔버 관리기 서브루틴(330A)으로부터의 처리 파라미터를 수신한다.
전형적으로, 처리 가스 제어 서브루틴(350)은 가스 공급 라인을 개방함으로써, 그리고 반복적으로 (ⅰ) 필요한 유량 제어기를 판독하고, (ⅱ) 상기 판독값을 챔버 관리기 서브루틴(330A)으로부터 수신된 요구 흐름 속도와 비교하며, (ⅲ) 필요한대로 가스 공급 라인의 흐름 속도를 측정함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(350)은 불안전한 속도를 고려하여 가스 흐름 속도를 모니터링하고, 불안전한 조건이 검출될 때 안전 차단 밸브를 작동시키는 단계를 포함한다.
일부 처리에서, 반응성 처리 가스가 챔버내로 유입되기 이전에 아르곤 같은 불활성 가스가 챔버 압력을 안정화하기 위하여 챔버(13)내로 흘려진다. 이런 처리를 위하여, 처리 가스 제어 서브루틴(350)은 챔버의 압력을 안정화하는데 필요한 시간 동안 챔버(13)내로 불활성 가스를 흘려주기 위한 단계를 포함하도록 프로그래밍된다. 다음에 이미 개시된 단계가 수행될 수 있다.
부가적으로, 처리 가스가 테트라에틸오르토실란(TEOS)과 같은 액체 전구체로부터 기화되어야 할 때 처리 가스 제어 서브루틴(350)은 버블러 어셈블리의 액체 전구체를 통해 헬륨과 같은 운반 가스를 버블링하기 위한 또는 헬륨을 액체 주입 밸브로 유입하기 위한 단계를 포함할 수 있다. 이런 형태의 처리를 위하여, 상기 처리 가스 제어 서브루틴(350)은 요구된 처리 가스 흐름 속도를 얻기 위해 운반 가스의 흐름, 버블러의 압력 및 버블러 온도를 조정한다. 이미 개시된 바와 같이, 요구된 처리 가스 흐름 속도는 처리 파라미터로서 처리 가스 제어 서브루틴(350)에 전달된다.
더욱이, 상기 처리 가스 제어 서브루틴(350)은 주어진 처리 가스 흐름 속도를 위해 필요한 값을 포함하는 저장된 테이블에 접근함으로써 요구된 처리 가스 흐름 속도를 위해 필요한 운반 가스 흐름 속도, 버블러 압력, 및 버블러 온도를 얻기 위한 단계를 포함한다. 필요한 값이 얻어질 때, 운반 가스 흐름 속도, 버블러 압력 및 버블러 온도가 모니터링되고, 필요한 값과 비교되어 조절된다.
또한 상기 처리 가스 제어 서브루틴(350)은 독립적 헬륨 제어(IHC) 서브루틴(도시 안됨)을 갖는 웨이퍼 척에 있는 내부와 외부 통로를 통해 헬륨(He)과 같은 열 이송 가스의 흐름을 제어할 수 있다. 상기 가스 흐름은 기판을 척에 대해 열적으로 결합한다. 전형적인 처리에서, 상기 웨이퍼는 플라즈마와 층을 형성하는 화학적 반응에 의해 가열되고, He은 수냉될 수 있는 척을 통과하여 기판을 냉각한다. 이것은 기판 상의 이미 형성되어 있는 형상을 손상시킬 수 있는 온도 이하로 기판을 유지한다.
압력 제어 서브루틴(360)은 챔버의 배출부에 있는 드로틀 밸브의 개구부의 크기를 조정함으로써 챔버(13)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 드로틀 밸브로 챔버를 제어하기 위한 적어도 2가지 기본적인 방법이 있다. 제 1 방법은 여럿 가운데서 전체 처리 가스 흐름, 처리 챔버의 크기 및 펌핑 능력에 관련될 때 챔버 압력의 특징화에 의존한다. 제 1 방법은 고정 위치에 드로틀 밸브(26)를 셋팅한다. 드로틀 밸브(26)의 고정 위치에 대한 셋팅은 결국 대기 상태 압력을 초래한다.
대안적으로, 상기 챔버 압력이 압력계를 사용하여 측정될 수 있고, 제어 위치가 가스 흐름과 배기 능력에 의한 경계 세트내에 있다고 가정하여 드로틀 밸브(26) 위치가 압력 제어 서브루틴(360)에 따라 조절될 수 있다. 전자의 방법은 후자 방법과 연관된 측정, 비교 및 계산이 불필요하기 때문에 더 빠른 챔버 압력 변화를 초래할 것이다. 전자의 방법은 챔버 압력의 정밀한 제어가 요구되지 않는 경우에 적당한 반면, 후자의 방법은 층의 증착 동안과 같이 정밀하고 반복 가능하며 안정한 압력이 요구되는 경우에 바람직할 수 있다.
압력 제어 서브루틴(360)이 호출될 때, 요구된(또는 목표) 압력 레벨은 챔버 관리기 서브루틴(330A)으로부터의 파라미터로서 수신된다. 압력 제어 서브루틴(360)은 챔버에 접속된 하나 이상의 일반적 압력계를 판독함으로써 챔버(13)내의 압력을 측정하고, 목표 압력에 대응하는 저장된 압력 테이블로부터 비례, 미분 및 차동(PID) 값을 얻고, 압력 테이블로부터 얻어진 PID 값에 따라 드로틀 밸브(26)를 조절하도록 동작한다. 대안적으로, 압력 제어 서브루틴(360)은 요구된 압력 또는 압력 범위로 챔버(13)의 압력을 조정하도록 특별한 개구부 크기로 드로틀 밸브(26)를 개방 또는 밀폐할 수 있다.
플라즈마 제어 서브루틴(370)은 RF 발생기(31A와 31B)의 주파수와 파워 출력 셋팅을 제어하기 위한, 그리고 정합 회로(32A와 32B)를 동조하기 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(370)은 이전에 개시된 챔버 구성 요소 서브루틴과 마찬가지로 챔버 관리기 서브루틴(330A)에 의해 호출된다.
이미 개시된 일부 또는 모든 서브시스템과 루틴을 사용하는 시스템의 보기는 어플라이드 머티어리얼스사에 의해 제조되고 본 발명을 수행하도록 구성된 울트라 시스템이 될 수 있다. 상기 시스템의 상세는 1996년 7월 15일에 제출되고, 대칭적 동조가능한 유도-정전 결합된 HDP-CVD 반응기로 명명된 미국 특허 출원 번호 제08/679,927호에 개시되어 있다.
Ⅲ. 안정한 시즈닝 막의 증착
본 발명의 이전에, 이미 개시된 바람직한 챔버에 사용되는 시즈닝 층은 본 발명의 상기 섹션을 배경으로 개시된 비율(예를 들어, 1.375:1의 O2: SiH4유량비)로 유입되는 SiH4, O2및 Ar이 되는 처리 가스로부터의 플라즈마에서 형성된다. 테스트는 챔버(13)가 시즈닝 층으로 시즈닝된 후 0.5㎛ 이상의 직경을 가지는 상대적으로 높은 수의 입자가 기판 위에 증착되는 플루오로실리케이트 글라스, 실리콘 이산화물, 포스포시리케이트 글라스 및 실리콘 질화물과 같은 막이 챔버내에서 증착된 후 챔버내에 존재한다는 것을 보여준다.
입자 수의 어떤 상당한 감소없이 상기 층들을 증착하는데 사용되는 프로세스의 여러 단계 및 파라미터를 개선하기 위해 많은 노력이 진행되었다. 본 출원의 발명자는 입자의 근본적인 원인이 챔버에 배치된 웨이퍼 상에 막을 증착하는데 사용되는 CVD 증착 과정에 있지않다는 것을 발견했다. 대신에, 이런 입자의 원인은 실리콘 산화물 시즈닝 막 자체가 될 수 있다는 것을 알았다. 발명자는 종래 시즈닝 방법이 챔버 벽에 적절히 부착하지않는 시즈닝 막의 증착을 초래한다는 것을 발견했다. 그러므로, 챔버에서 기판 위에 막을 증착하는데 사용되는 순차적 웨이퍼 처리 단계 동안 깨지거나 박편화된다. 종래 시즈닝 방법의 부착 문제는 주로 챔버의 세라믹부에 대해 한정된다고 믿어진다. 그러므로, 입자 문제는 챔버(13)에 사용된 상당한 비율의 세라믹으로 인한 직접적인 결과라고 믿어진다(이전에 언급된 바와 같이, 이런 시즈닝 방법이 미리 사용되는 챔버의 내부는 주로 수정 또는 실리콘이었다).
이런 발견에 따라, 발명자는 알루미늄과 같은 재료에 잘 부착하고 세라믹과 같은 재료에 잘 부착하는 시즈닝 막을 개발하였다. 그러므로, 본 발명은 챔버 벽과 절연 영역의 상당한 부분이 세라믹 또는 유사한 재료로 제조되는 경우에도 개시된 바람직한 챔버와 같은 기판 처리 챔버 내부의 벽 또는 절연 영역으로부터 비롯되는, 기판 처리 동안 존재하는 입자 또는 다른 오염물을 감소하는데 사용될 수 있다. 종래 시즈닝 층과 비교할 때, 본 발명에 따라 형성된 시즈닝 층은 기판 처리 챔버의 세라믹부에 대한 개선된 부착성을 가지므로 순차적 기판 처리동안 박편화 또는 벗겨짐을 덜 받는다.
도 2는 도 1a에 도시된 장치를 참조하여 설명된 상호 참조 번호로 본 발명의 바람직한 방법을 설명한다. 이런 방법은 CVD 시스템(10)의 메모리(62)에 저장된 컴퓨터 프로그램을 사용하여 수행되고 제어된다. 이런 바람직한 실시예에서, 상기 시즈닝 층은 NF3과 같은 에천트 가스가 이전 처리 단계(예를 들어, 단계 220의 CVD 증착 과정)로부터 챔버 벽에 증착된 재료를 제거하기 위해 챔버내로 유입되는 표준 챔버 세정 과정(단계 100)의 완료후 증착된다. 이런 세정 단계는 상기 찌꺼기가 순차적 증착 단계(예를 들어, 증착 단계 220) 동안 방출되지 않도록 시즈닝 막에 의해 커버되는 챔버내에 일부 찌꺼기(예를 들면, 챔버 벽에 흡수된 플루오르)를 남길 수 있다. 시즈닝 막이 증착된 후, 1 내지 n개의 기판이 전체 시퀀스가 반복되기 이전에 챔버(13)에서 처리된다(단계 230).
상기 시즈닝 층은 SiH4, O2및 아르곤을 포함하는 가스로부터 플라즈마를 형성함으로써 증착된다(단계 210). 상기 플라즈마는 SRF 발생기(32A와 32B)로부터 RF 에너지의 적용에 의해 형성된다. 바람직하게, 상기 플라즈마는 기판을 향해 바이어싱되지 않으므로 BRF 발생기(32C)는 동작하지 않는다.
증착된 막이 챔버(13)의 내부에 잘 부착하도록, 온도, 압력, RF 파워 레벨, 아르곤 대 O2의 비율 및 O2대 SiH4의 비율을 포함하는 다수의 증착 파라미터를 제어하는 것이 중요하다. 발명자는 가장 중요한 파라미터는 O2대 SiH4의 비율이라는 것을 알았다. 처리 가스내의 너무 많은 SiH4또는 너무 적은 O2의 유입은 많은 SiH 본드를 포함하는 실리콘 산화막을 초래할 것이다. 이런 막은 실리콘 잉여 막으로서 참조된다. 한편, 너무 많은 O2또는 너무 적은 SiH4의 유입은 많은 Si-OH 본드를 포함하는 실리콘 산화막을 초래할 것이다. 이런 막은 산소 잉여 막으로서 참조된다.
아래에 더욱 상세히 개시된 바와 같이, 발명자는 1.4-2.4 : 1의 O2: SiH4의 유량비에서 얻어지는 시즈닝 막이 실리콘 잉여 또는 산소 잉여를 초래하지 않아 세라믹에 부착하기 어려운 표면에 대한 개선된 부착성을 나타낸다는 것을 알았다. 발명자는 더욱 바람직하게 O2: SiH4의 비율이 1.6-2.2 : 1이 되어야 한다는 것을 발견했다.
상기 챔버내로 흘려지는 아르곤의 양은 증착된 시즈닝 층의 부착 특성에 영향을 끼친다. 일반적으로 말하면, 아르곤 흐름의 증가는 플라즈마 밀도를 증가시키고, 그결과 더욱 효율적인 시즈닝 막을 제공한다. 그러나, 너무 많은 아르곤이 챔버내로 흐른다면 챔버내의 압력은 플라즈마 밀도 감소를 증가시킬 것이다. SiH4흐름 속도에 거의 동일한 아르곤 흐름 속도가 바람직하다.
바람직한 실시예에서, O2는 측면 노즐로부터 115sccm의 속도로 상부 노즐로부터 25sccm의 속도로 챔버내로 유입되고; SiH4는 측면 노즐로부터 70sccm의 속도로 상부 노즐(46)로부터 5sccm의 속도로 챔버내로 유입되며; 아르곤은 측면 노즐로부터 78sccm의 속도로 상부 노즐로부터 15sccm의 속도로 챔버내로 유입된다. 이런 실시예에서 전체 O2: SiH4의 비율은 1.87:1이다. 부가적으로, 챔버내의 압력은 6밀리토르로 설정되며, 측면 RF 소스는 2500W로 파워가 인가되는 반면 상부 RF 소스는 1000W로 파워가 인가된다.
도 3은 순차적 기판 처리동안 발생된 입자(0.5㎛ 이상의 직경이 되는 입자)의 수 : 시즈닝 막 증착 단계 210에서의 O2/ SiH4의 유량비에 대한 그래프를 도시한다. 상기 그래프에서 알 수 있는 바와 같이, 1 : 1.4 미만의 SiH4: O2또는 1 : 2.4 이상의 SiH4: O2유량비는 상승된 입자 수를 초래한다. 상기 증착된 시즈닝 층이 챔버 벽의 세라믹부에 적당히 부착하지 않기 때문에 이런 흐름비에서 더 큰 수의 입자가 발생된다고 믿어진다. 그러므로, 상기 시즈닝 층은 순차적 기판 처리동안 조그마한 조각으로 깨지거나 벗겨지는 경향이 있다. 빈약한 부착성은 실리콘 산화물 시즈닝 막에 편입된 상대적으로 큰 수의 Si-H 본드(또는 1.4:1 미만의 비율) 또는 큰 수의 Si-OH 본드(2.4:1 이상의 비율)에 기인한다고 믿어진다.
대조적으로, 1.4-2.4:1의 O2:SiH4유량비에서, 상기 실리콘 산화물 시즈닝 층은 벽의 세라믹부를 포함하여 챔버에 잘 부착한다. 이런 양호한 부착성 때문에, 입자는 증착 단계 220과 같은 챔버(13)내에서 처리되는 순차적 기판 처리 단계 동안 덜 깨지거나 벗겨진다. 감소된 입자 수는 영역(310)으로서 도 3에 도시되어 있다.
Ⅳ. 실험 결과
본 발명의 작용과 효율을 증명하기 위해, 실험은 약 1.3:1의 O2:SiH4비율을 가지는 종래 처리에 따라 증착된 시즈닝 막 및 더 높은 O2:SiH4비율을 가지는 막의 특성을 비교하여 수행된다. 각각의 실험에서, 각각의 시즈닝 층에 Si-OH, Si-H 본드가 존재하는지를 검출하기 위해 푸리에 변환 적외선 분광학(FTIR) 분석이 당업자들에게 공지된 바와 같이 수행된다. 약 1.3:1의 O2:SiH4비율을 가지는 종래 막에서의 FTIR 분석 결과는 도 4a에 도시되어 있다. 약 2.6:1의 O2:SiH4비율을 가지는 다른 시즈닝 막의 FTIR 분석 결과는 도 4b에 도시되어 있다. 도 4b에서 알수 있는 바와 같이, Si-OH 본드의 존재는 이런 시즈닝 막에서 검출되므로, 상기 막은 산소 잉여 막이라는 것을 표시한다. 도 4c는 산소 잉여도 실리콘 잉여도 아니고 O2:SiH4의 비율이 1.8:1이 되는 처리 가스로부터 본 발명의 방법에 따라 증착되는 시즈닝 막의 FTIR 분석을 나타낸다.
도 4c에 도시된 바와 같이, 상기 증착된 막에 존재하는 Si-H와 Si-OH 본드의 수는 도 4a와 도 4b에서 분석된 막의 본드 수와 비교할 때 상당히 감소된다.
이미 개시된 가스 유입 속도와 실험은 8인치 웨이퍼에 적용되는 어플라이드 머티어리얼스사에 의해 제조된 울트라 HDP-CVD 챔버에서 본 발명의 시즈닝 층을 형성하는데 기초한다. 당업자에게 이해될 수 있는 바와 같이, 가스가 다른 실시예에서 유입되는 실제 속도는 다른 디자인 및/또는 부피의 다른 챔버가 사용되는 경우 변경할 수 있다. 또한, 상기 방법에서 나열된 파라미터는 여기에 개시된 바와 같이 청구범위를 제한하지 않아야 한다. 어떤 당업자는 개시된 것들 외의 챔버 파라미터와 조건을 사용할 수 있다. 이와같이, 상기 상세한 설명은 예시적이고 한정적이지 않다. 단순히 보기에 의해, 본 발명은 증착 조건을 안정화하고 더욱 안정한 시즈닝 층을 증착하는 것을 보조하는 불활성 가스와 같은 아르곤을 사용하는 시즈닝 막 프로세스에 대한 특별한 참고 문헌으로 설명된다. 다른 불활성 가스, 이를테면 헬륨이 유사한 결과를 얻는데 사용될 수 있다. 부가적으로, 현재는 바람직하지 않더라도, 다른 실시예에서 실리콘 산화물 시즈닝 층에 붕소, 인 등과 같은 도판트를 포함하는 것이 가능할 것이다. 이상에서는 본 발명의 양호한 일실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
증착된 시즈닝 층은 종래 시즈닝 층보다 기판 처리 챔버의 내부의 세라믹부에 보다 양호하게 부착되고, 그러므로 챔버에서의 순차적 증착막이 기판 상에 증착되는 동안 덜 깨지거나 박편화된다.

Claims (12)

  1. 기판 처리 챔버 운용 방법에 있어서,
    기판 처리 작업 이전에, 상기 챔버의 내부 표면의 적어도 일부에 실리콘 산화막을 증착하기 위하여 상기 챔버내로 1.4:1 이상이 되는 산소:실란의 유량비로 실란과 산소를 함유하는 시즈닝 가스를 흘려주는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 운용 방법.
  2. 제 1항에 있어서,
    상기 실리콘 산화막의 증착후, 상기 챔버에서 기판을 처리하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 챔버 운용 방법.
  3. 제 2항에 있어서,
    상기 실리콘 산화막은 상기 처리 가스로부터 플라즈마를 형성하기 위하여 상기 시즈닝 가스에 에너지를 공급함으로써 증착되는 것을 특징으로 하는 기판 처리 챔버 운용 방법.
  4. 제 3항에 있어서,
    상기 챔버 내부 표면의 적어도 일부는 세라믹 재료를 포함하는 것을 특징으로 하는 기판 처리 챔버 운용 방법.
  5. 제 1항에 있어서,
    상기 산소:실란의 유량비는 1.6:1 내지 2.2:1 사이인 것을 특징으로 하는 기판 처리 챔버 운용 방법.
  6. 제 1항에 있어서,
    상기 챔버내로 상기 시즈닝 가스를 흘려주기 이전에, 에천트 가스가 상기 재료와 반응하도록 상기 챔버내로 상기 에천트 가스를 흘려줌으로써 상기 내부 표면의 적어도 일부에 증착되는 재료를 세정하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 챔버 운용 방법.
  7. 하나 이상의 집적 회로를 제조하는 방법에 있어서,
    (a) 내부 표면을 가지는 기판 처리 챔버를 제공하는 단계;
    (b) 상기 챔버내로 에천트 가스를 유입함으로써 상기 챔버의 적어도 하나의 내부 표면을 세정하는 단계;
    (c) 상기 챔버내로 1.4:1 내지 2.4:1이 되는 O2:SiH4의 유량비로 산소(O2)와 실란(SiH4)을 포함하는 시즈닝 가스를 흘려주는 단계;
    (d) 상기 챔버의 적어도 하나의 내부 표면의 적어도 일부 위에 실리콘 산화막을 증착하기 위해 상기 시즈닝 가스로부터 플라즈마를 형성하는 단계;
    (e) 상기 챔버내에 상기 하나 이상의 집적 회로가 형성될 기판을 이송하는 단계; 및
    (f) 상기 기판 위에 어떤 층을 증착하기 위해 상기 챔버내로 증착 가스를 유입하는 단계를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  8. 제 7항에 있어서,
    상기 내부 표면의 적어도 일부는 세라믹 재료를 포함하는 것을 특징으로 하는 집적 회로 제조 방법.
  9. 제 8항에 있어서,
    상기 산소:실란의 유량비는 1.6:1 내지 2.2:1 사이인 것을 특징으로 하는 집적 회로 제조 방법.
  10. 제 7항의 방법에 의해 제조된 집적 회로.
  11. 기판 처리 시스템에 있어서,
    내부 표면을 가지는 진공 챔버를 형성하기 위한 하우징;
    상기 하우징내에 배치되어 기판을 홀딩하기 위한 기판 홀더;
    상기 진공 챔버내로 시즈닝 가스를 유입하기 위한 가스 운반 시스템;
    상기 가스 운반 시스템을 제어하기 위한 제어기; 및
    상기 제어기에 결합되고, 상기 화학 기상 증착 시스템의 동작을 명령하기 위해 내장된 컴퓨터 판독 가능한 프로그램을 가지는 컴퓨터 판독 가능한 매체를 포함하는 메모리를 포함하며, 상기 컴퓨터 판독가능 프로그램은,
    기판 처리 작업 이전에, 상기 진공 챔버의 상기 내부 표면의 적어도 일부 위에 실리콘 산화막을 증착하기 위해 약 1.4:1 이상이 되는 산소:실란의 유량비로 상기 진공 챔버내로 산소와 실란을 포함하는 시즈닝 가스를 흘려주도록 상기 가스 운반 시스템을 제어하는 명령 세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  12. 기판 처리 시스템에 있어서,
    내부 표면을 가지는 진공 챔버를 형성하기 위한 하우징;
    상기 하우징내에 배치되어 기판 처리 동안 기판을 홀딩하기 위한 기판 홀더;
    상기 진공 챔버내로 기판을 이송하여 상기 기판 홀더 위에 상기 기판을 위치시키도록 구성된 기판 이송 시스템;
    상기 진공 챔버내로 시즈닝 가스를 유입하기 위한 가스 운반 시스템;
    상기 진공 챔버내로 유입된 가스로부터 플라즈마를 형성하도록 구성된 플라즈마 발생 시스템;
    상기 기판 이송 시스템, 상기 가스 운반 시스템, 및 상기 플라즈마 발생 시스템을 제어하기 위한 제어기; 및
    상기 제어기에 결합되고, 상기 화학 기상 증착 시스템의 동작을 명령하기 위해 내장된 컴퓨터 판독 가능한 프로그램을 가지는 컴퓨터 판독 가능한 매체를 포함하는 메모리를 포함하며, 상기 컴퓨터 판독가능 프로그램은,
    상기 진공 챔버의 상기 내부 표면을 세정하기 위해 상기 진공 챔버내로 에천트 가스를 유입하도록 상기 가스 운반 시스템을 제어하기 위한 제 1 명령 세트;
    상기 제 1 세트 명령의 실행 후 실행되고, 1.4:1 내지 2.4:1 사이가 되는 산소:실란의 유량비로 상기 진공 챔버내로 산소와 실란을 포함하는 시즈닝 가스를 흘려주도록 상기 가스 운반 시스템을 제어하기 위한 제 2 명령 세트;
    상기 진공 챔버의 상기 내부 표면의 적어도 일부 위에 실리콘 산화막을 증착하기 위해 상기 시즈닝 가스로부터 플라즈마를 형성하도록 상기 플라즈마 발생 시스템을 제어하기 위한 제 3 명령 세트;
    상기 제 3 명령 세트의 실행후 실행되고, 상기 진공 챔버에 하나 이상의 집적 회로가 형성될 수 있는 기판을 이송하여 상기 기판 홀더 위에 상기 기판을 위치시키도록 상기 기판 이송 시스템을 제어하기 위한 제 4 명령 세트; 및
    상기 기판 위에 어떤 층을 증착하기 위해 사이 진공 챔버내로 증착 가스를 유입하도록 상기 가스 운반 시스템을 제어하기 위한 제 5 명령 세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
KR1019980023584A 1997-07-02 1998-06-23 Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절 KR100611610B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US88723997A 1997-07-02 1997-07-02
US08/887,239 1997-07-02
US8/887,239 1997-07-02

Publications (2)

Publication Number Publication Date
KR19990013438A true KR19990013438A (ko) 1999-02-25
KR100611610B1 KR100611610B1 (ko) 2006-10-24

Family

ID=25390739

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980023584A KR100611610B1 (ko) 1997-07-02 1998-06-23 Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절

Country Status (5)

Country Link
EP (1) EP0892083B1 (ko)
JP (1) JPH1167746A (ko)
KR (1) KR100611610B1 (ko)
DE (1) DE69812239T2 (ko)
TW (1) TW416100B (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100415441B1 (ko) * 2002-04-24 2004-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 증착 방법
KR100881493B1 (ko) * 2007-06-26 2009-02-05 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
KR101325611B1 (ko) * 2013-04-10 2013-11-06 대광기업 주식회사 와이어 로프의 합성수지 성형장치 및 그 성형방법
KR101964465B1 (ko) 2018-12-23 2019-07-31 주식회사 경동엔지니어링 프레스 장치
KR101975170B1 (ko) 2018-12-22 2019-08-23 이강일 철판 절곡 장치
KR102518514B1 (ko) 2022-06-20 2023-04-04 신승배 마그네틱 가이드 지그

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3159187B2 (ja) * 1998-11-04 2001-04-23 日本電気株式会社 薄膜成膜方法
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
JP2002043224A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc アダプター、チャンバ及びプラズマ処理装置
US6706336B2 (en) 2001-02-02 2004-03-16 Canon Kabushiki Kaisha Silicon-based film, formation method therefor and photovoltaic element
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100825130B1 (ko) 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
CN101106070B (zh) * 2003-05-02 2012-01-11 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
JP4720266B2 (ja) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
TWI327761B (en) 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN104099582B (zh) * 2013-04-15 2016-10-19 中芯国际集成电路制造(上海)有限公司 一种减少炉管中颗粒的方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20180080901A (ko) * 2017-01-05 2018-07-13 주성엔지니어링(주) 투습 방지막과 그 제조 방법
WO2019245727A1 (en) * 2018-06-22 2019-12-26 Applied Materials, Inc. Methods of minimizing wafer backside damage in semiconductor wafer processing
US20210340668A1 (en) * 2018-09-21 2021-11-04 Lam Research Corporation Method for conditioning a plasma processing chamber
CN110942974B (zh) * 2018-09-25 2023-06-09 长鑫存储技术有限公司 半导体结构的形成方法及在晶圆上形成氧化硅膜的方法
KR20220092575A (ko) * 2019-11-01 2022-07-01 어플라이드 머티어리얼스, 인코포레이티드 감소된 결함의 증착 프로세스들
CN113889403A (zh) * 2021-12-08 2022-01-04 陕西亚成微电子股份有限公司 一种栅氧化层生长方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
JPH0533138A (ja) * 1991-07-30 1993-02-09 Fuji Electric Co Ltd 酸化膜の製造方法
JPH06280028A (ja) * 1993-03-29 1994-10-04 Anelva Corp プラズマ処理方法及び装置
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
TW343356B (en) * 1996-05-13 1998-10-21 Applied Materials Inc Deposition chamber and method for depositing low dielectric films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100415441B1 (ko) * 2002-04-24 2004-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 증착 방법
KR100881493B1 (ko) * 2007-06-26 2009-02-05 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
KR101325611B1 (ko) * 2013-04-10 2013-11-06 대광기업 주식회사 와이어 로프의 합성수지 성형장치 및 그 성형방법
KR101975170B1 (ko) 2018-12-22 2019-08-23 이강일 철판 절곡 장치
KR101964465B1 (ko) 2018-12-23 2019-07-31 주식회사 경동엔지니어링 프레스 장치
KR102518514B1 (ko) 2022-06-20 2023-04-04 신승배 마그네틱 가이드 지그

Also Published As

Publication number Publication date
EP0892083A1 (en) 1999-01-20
EP0892083B1 (en) 2003-03-19
JPH1167746A (ja) 1999-03-09
DE69812239T2 (de) 2003-12-04
KR100611610B1 (ko) 2006-10-24
TW416100B (en) 2000-12-21
DE69812239D1 (de) 2003-04-24

Similar Documents

Publication Publication Date Title
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US6589868B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100870852B1 (ko) 배면 오염의 저감을 위한 인 시츄 웨이퍼 열처리
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
US6527910B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
KR100518156B1 (ko) 테트라에틸오르토실란및오존실리콘산화물의표면감도감소방법및장치
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
KR20080048430A (ko) 반도체 처리 챔버용 가스 배플 및 분배기
KR20010049597A (ko) 연마된 할로겐으로 도핑된 실리콘 유리의 질소 처리

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee