KR20080048430A - 반도체 처리 챔버용 가스 배플 및 분배기 - Google Patents

반도체 처리 챔버용 가스 배플 및 분배기 Download PDF

Info

Publication number
KR20080048430A
KR20080048430A KR1020070122082A KR20070122082A KR20080048430A KR 20080048430 A KR20080048430 A KR 20080048430A KR 1020070122082 A KR1020070122082 A KR 1020070122082A KR 20070122082 A KR20070122082 A KR 20070122082A KR 20080048430 A KR20080048430 A KR 20080048430A
Authority
KR
South Korea
Prior art keywords
gas
concave
semiconductor processing
concave surface
baffle
Prior art date
Application number
KR1020070122082A
Other languages
English (en)
Other versions
KR101489632B1 (ko
Inventor
수남 박
파르한 아메드
헤만트 피. 문게칼
산제이 카마쓰
영 에스. 이
시큉 루
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080048430A publication Critical patent/KR20080048430A/ko
Application granted granted Critical
Publication of KR101489632B1 publication Critical patent/KR101489632B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Abstract

반도체 프로세스 챔버 내에 가스를 분배하기 위한 장치 및 방법이 제공된다. 일 실시예에서, 가스 처리 챔버 내에 사용하기 위한 가스 분배기는 바디를 포함한다. 이 바디는 제1 방향에서 제2 방향으로 가스의 유동을 전환시키기 위해 가스 편향면을 갖춘 배플을 포함한다. 가스 편향면은 오목면을 포함한다. 이 오목면은 가스 편향면의 표면적의 적어도 약 75%를 포함한다. 이 오목면은 챔버를 향해 가스를 실질적으로 편향시키며, 시즈닝 시간이 감소되도록 배플로부터 감소된 금속 이온 오염을 제공한다.

Description

반도체 처리 챔버용 가스 배플 및 분배기 {GAS BAFFLE AND DISTRIBUTOR FOR SEMICONDUCTOR PROCESSING CHAMBER}
본 발명은 일반적으로 반도체 제조 분야에 관한 것이다. 보다 상세하게, 본 발명은 집적 회로의 형성에 사용되는 가스들을 전달하기 위한 방법 및 가스 분배기에 관한 것이다.
현대의 반도체 장치의 조립에 있어서 주요 단계 중 하나가 반도체 기판 상에 실리콘 산화물 막과 같은 막의 형성 단계이다. 실리콘 산화물은 반도체 장치의 제조에서 유전체 층으로서 널리 사용된다. 널리 공지된 바와 같이, 실리콘 산화물 막은 열 화학-기상 증착("CVD") 프로세스에 의해 또는 플라즈마-강화 화학-기상 증착("PECVD") 프로세스에 의해 증착될 수 있다. 통상의 열 CVD 프로세스에서, 반응 가스들이 기판의 표면으로 공급되며, 여기서, 열-유도 화학 반응이 발생되어 원하는 막을 생성한다. 통상의 플라즈마 증착 프로세스에서, 원하는 막을 생성시키기 위해 반응 종(reactive species)을 분해 및/또는 전류 공급하도록 제어된 플라즈마가 형성된다.
반도체 장치 형상은 크기 면에서 상당히 감소되어 왔으며, 이러한 반도체 장 치는 먼저 수십 년 전에 도입되어 크기가 계속해서 감소되고 있다. 반도체 장치 형상의 규모에 있어서 이러한 계속되는 크기의 감소로 인해, 반도체 기판 상에 조립되는 집적 회로 내에 형성되는 회로 소자와 상호 접속의 밀도가 극적으로 증가하였다. 이러한 밀집되게 채워진 집적 회로의 설계 및 조립에서 반도체 제조사가 당면한 하나의 계속되는 도전은 회로 소자들 사이의 가짜의 상호 작용을 방지하려는 요구이며, 형상 규모로서 진행 중인 혁신을 필요로 하였던 목표가 계속해서 감소된다.
전형적으로, 원치않는 상호 작용은 물리적으로 그리고 전기적으로 모두 소자들을 격리시키도록 유전체 재료로 충전되는 인접하는 요소들 사이에 공간들을 제공함으로써 방지된다. 이러한 공간은 여기서 종종 "갭(gap)" 또는 "트렌치(trench)"라고 지칭되며, 이러한 공간을 충전하는 프로세스를 "갭-충전" 프로세스라고 통상 지칭된다. 따라서, 이러한 공간을 완전히 충전하는 막을 형성하기 위한 주어진 프로세스의 성능이 종종 "갭-충전 성능"이라고 지칭되며, 막은 "갭-충전 층" 또는 "갭-충전 막"이라고 기재된다. 회로 밀도가 보다 작은 피쳐(feature) 크기로 증가함에 따라, 이들 갭의 폭이 감소되어, 갭의 깊이에 대한 갭의 높이의 비로 정의되는 종횡비(aspect ratio)가 증가하게 된다. 고 종횡비의 갭은 비교적 불량한 갭-충전 성능을 갖는 경향이 있는 통상의 CVD 기술을 사용하여 완전히 충전되기가 어렵다. 금속간 유전체(intermetal dielectric; "IMD") 분야, 사전금속 유전체(premetal dielectric; "PMD") 분야, 및 쉘로우-트렌치-격리(shallow-trench-isolation; "STI") 분야에서 통상적으로 갭을 충전하는데 사용되는 한 종족의 유전 체 막은 그 중에서도 특히 실리콘 산화물(때때로 "실리카 유리(silica glass)" 또는 "실리케이트 유리(silicate glass)"라고도 불린다)이다.
일부의 집적 회로 제조사들은 실리콘 산화물 갭-충전 층을 증착시킬 때 고밀도 플라즈마 CVD("HDP-CVD") 시스템을 사용하기 시작하였다. 이러한 고밀도 플라즈마 CVD("HDP-CVD") 시스템은 표준 용량성 결합 플라즈마 CVD 시스템에 의해 제공되는 플라즈마 밀도보다 약 2차수의 크기인 약 1011 ions/cm3 보다 큰 밀도를 갖는 플라즈마를 형성한다. 유도성 결합 플라즈마("ICP") 시스템은 HDP-CVD 시스템의 실례이다. 이러한 HDP-CVD 기술에 의해 증착되는 막이 향상된 갭-충전 특성을 가질 수 있게 하는 하나의 요인은 재료의 증착과 동시에 스퍼터링(sputtering)의 발생이다. 스퍼터링은 충격에 의해 재료가 배출되는 기계적 프로세스가며, HDP-CVD 프로세스의 고이온 밀도의 플라즈마에 의해 촉진된다. 따라서, HDP 증착의 스퍼터링 성분은 상승딘 표면의 모서리와 같은 어떠한 피쳐 상의 증착을 늦추어서, 증가된 갭-충전 성능에 기여한다.
HDP 및 ICP 프로세스를 이용하게 되면서 조차도, 원하는 증착 특성을 달성하기 위한 다수의 계속적인 도전은 여전히 존재한다. 이러한 도전은 특히 챔버 내의 구조체에 손상을 주며 오염을 야기하는 온도를 발생시키는 고에너지 프로세스에 의해 처리 챔버 내부의 플라즈마의 열 특성을 관리할 필요성을 포함한다. 예를 들어, 고온은 이러한 고온에 부식 시스템 부품이 노출되게 하며 기판 상에 알루미늄 불순물이 증착되게 하는 AlF3의 형성과 승화와 연관되어 있었다. 불소는 부식성이 상당히 높고, 챔버 벽으로부터 재료들을 부식성으로 제거하기 위한 청정 가스로서, 그리고 종종 에칭 가스로서 종종 챔버 내에 존재한다. 예를 들어, 해리된 NF3는 챔버를 세정하기 위해, 그리고 챔버 내부에서 NF3 플라즈마를 사용하는 증착-에칭-증착 처방법의 에칭 성분으로서 배플의 배면으로부터 챔버 안으로 도입될 수 있다.
또한, 웨이퍼를 가로질러 균일한 증착 프로세스를 제공하고자 하는 일반적인 요망이 존재한다. 불균일성은 장치 성능을 불일치하게 하며 다수의 상이한 요인으로 인해 형성된다. 웨이퍼 위로 상이한 지점에서의 증착 특성은 다수의 상이한 효과의 복잡한 상호 작용으로 인해 생성된다. 예를 들어, 챔버 안으로 가스가 도입되는 방법, 전구체 종을 이온화하는데 사용되는 동력 수준, 이온을 지향시키기 위해 전기장의 사용 등은 궁극적으로 웨이퍼를 가로질러 증착 특성의 균일성에 영향을 미칠 수도 있다. 또한, 이러한 효과들이 나타나는 방법은 예를 들어 챔버 내의 이온의 분포에 영향을 미치는 상이한 확산 효과를 제공함으로써 챔버의 물리적인 형상 및 크기에 의존할 수 있다.
본 발명의 실시예와 관련한 작업은 현재의 시스템 및 방법이 이상적이지 못함을 제시한다. 예를 들어, 반도체 회로 및 회로 소자 사이의 연관된 갭이 수축함에 따라, 특히 입자 크기가 갭의 크기와 비슷한 곳에서 작은 입자들에 의한 오염이 문제가 될 수 있다. 또한, 예컨대 Al과 같은 갭-충전 층 내의 금속에 의한 오염은 원하는 유전체 갭-충전 층의 전자적 유도 특성을 감소시킬 수 있다. 이러한 오염에 의해, 수율이 감소되고 재료가 낭비되며 일부의 경우에는 회로에 결함이 발생된다. 이 결과, 쉘로우 트렌치 격리에 대한 HDP-CVD 프로세스 막의 하나의 세부 내용은 막의 Al 함유량이다.
웨이퍼 오염을 방지하기 위한 하나의 방법은 챔버 내에 웨이퍼를 위치시키기 전에 보호 코팅으로 챔버를 시즈닝하는 것이었다. 예컨대, 처리 챔버는 세정 가스에 의해 챔버가 부식되는 것을 방지하고 오염을 방지하기 위해 예를 들어 챔버 벽 위와 같은 챔버 내부에 보호 코팅을 증착시키는, 예컨대 SiH4와 같은 증착 가스로 종종 시즈닝된다. 그러나, 보호 코팅에 의해 챔버를 시즈닝하면, 전형적인 시즈닝 시간이 120초 정도 걸릴 수 있다. 이 결과, 수율, 즉 주어진 시간에 걸쳐 처리되는 웨이퍼의 개수가 감소되며, 현재의 반도체 처리 시스템의 수율이 이상적이지 못 할 수 있다. 본 발명과 연관된 작업은 웨이퍼를 처리하는데 필요한 시간의 양을 감소시킴으로써 예컨대, 시즌 시간을 감소시킴으로써 웨이퍼 생산 수율이 증가될 수 있음을 제안한다. 도 1에는 반도체 처리 챔버 내에 사용되었던 종래의 가스 배플의 실시예가 도시되며 상기한 단점의 적어도 일부가 존재하는 것으로 도시되어 있다.
따라서, 예를 들어 알루미늄 원자와 같은 금속 원자로부터의 오염이 감소되고 HDP 및 ICP 처리에서의 수율이 향상되면서 증착 균일성을 제공하는 향상된 시스템 및 방법에 대한 당해 기술 분야의 일반적인 요구가 존재한다.
본 발명의 실시예들은 반도체 처리 방법 및 장치를 제공한다. 보다 상세하게, 본 발명의 실시예들은 예를 들어 세정 가스 및/또는 증착 가스와 같은 가스를 처리 챔버 내에 분배하는데 사용되는 가스 분배기를 제공한다.
본 발명의 일 실시예에서, 반도체 처리 챔버 내에 사용되는 가스 분배기는 몸체를 포함한다. 이 몸체는 제1 방향에서 제2 방향으로 가스의 유동을 전환시키기 위한 가스 편향면을 갖춘 배플(baffle)을 포함하다. 가스 편향면은 오목부를 포함한다. 이 오목부는 가스 편향면의 표면적의 적어도 약 75%를 포함한다.
본 발명의 다른 실시예에서, 기판 처리 챔버는 천장 및 측벽을 갖춘 외장과, 기판을 지지하도록 구성되는 기판 지지부를 포함한다. 가스 분배기는 기판 지지부 위로 중심에 위치된다. 가스 분배기는 배플을 포함하는 몸체를 포함한다. 배플은 몸체로부터 떨어져서 외장의 측벽을 향해 외측으로 가스를 인도하도록 구성되는 노출된 상부면을 구비한다. 노출된 상부면은 오목부를 포함하며, 이 오목부는 배플의 노출된 상부면의 영역의 적어도 약 75%를 포함한다. 가스 분배기는 상부면 아 래로 기판 지지부와 이격되어 위치한 하부면을 포함한다. 하부면은 처리 챔버 안으로 증착 가스를 분사하도록 구성된다.
본 발명의 다른 실시예에서, 반도체 처리 챔버 내에 사용되는 가스 분배기는 몸체를 포함한다. 이 몸체는 가스를 전환시키기 위한 배플을 포함한다. 이 배플은 제1 방향에서 제2 방향으로 가스를 편향시키기 위한 오목면을 포함한다. 이 배플은 오목면에 대해 둘레로 배치되는 볼록면을 또한 포함한다. 전이면(transition surface)이 오목면과 볼록면 사이에 배치되어, 오목면으로부터 볼록면으로의 전이를 제공한다. 볼록면은 배플을 가로질러 최대 폭을 포함한다. 전이면은 최대 폭의 단지 약 20%를 따라 연장한다.
본 발명의 또 다른 실시예에서, 반도체 처리에 사용되는 가스를 편향시키기 위한 방법이 제공된다. 세정 가스는 가스 분배기를 향해 제1 방향으로 인도된다. 가스 분배기는 오목면을 포함한다. 가스는 실질적으로 오목면에 의해 제1 방향으로부터 제2 방향으로 편향된다. 제2 방향은 제1 방향을 가로지른다.
본 발명의 다른 실시예에서, 반도체 처리 챔버 내의 반도체 웨이퍼를 처리하는 방법이 제공된다. 이러한 방법은 세정 가스에 의해 챔버를 세정하는 단계를 포함한다. 챔버는 약 25초 내지 60초 동안 시즈닝된다. 유전체 층으로 웨이퍼를 도포하기 위해 챔버 내에 웨이퍼가 배치된다. 이 웨이퍼는 HDP 프로세스 및/또는 CVD 프로세스에 의해 유전체 층으로 도포된다. 유전체 층은 cm2 당 단지 약 2×1012 금속 원자를 갖는다. 도포된 웨이퍼가 챔버로부터 제거된다.
본 발명의 또 다른 실시예에서, 기판 처리 장치가 제공된다. 이 기판 처리 장치는 천장 및 측벽을 갖는 외장을 포함한다. 기판 지지부는 외장 내부에서 반도체 웨이퍼를 지지하도록 구성된다. 고밀도 플라즈마 증착 시스템은 기판 상에 유전체 층을 형성하도록 기판에 고밀도 플라즈마를 전달하도록 구성된다. 기판 지지부 위로 중심에 가스 분배기가 배치된다. 가스 분배기로의 가스 전달을 제어하도록 구성되는 가스 전달 시스템이 제공된다. 가스 전달 시스템 및 플라즈마 증착 시스템에 프로세서가 결합되어 챔버를 시즈닝하고 웨이퍼에 유전체 층을 도포시킨다. 배플 및 외장은 약 25 내지 60초의 시즈닝 시간으로 고 전력 프로세스에 대해 유전체 층 상에 cm2 당 단지 약 1.5×1012 금속 원자를 제공하도록 구성된다.
종래 기술과 비교할 때 본 발명에 의해 다수의 잇점이 달성된다. 본 발명의 실시예들은 챔버 벽을 향해 가스를 편향시키고 가스를 인도하기 위해 오목부를 사용하여, 예컨대 감소된 알루미늄 오염과 같은 감소된 웨이퍼 오염을 제공한다. 또한, 본 발명의 실시예들은 감소된 연마 시간을 갖는 갭-충전 층의, 예컨대 알루미늄 원자 오염과 같은 감소된 금속 원자 오염을 제공하여, 반도체 웨이퍼를 처리하는데 필요한 전체 시간이 감소된다. 상기한 장점 중 적어도 일부는 아래에 기술하는 본 발명의 실시예들에 의해 제공된다.
본 발명의 실시예들은 반도체 처리 방법 및 장치를 제공한다. 보다 상세하 게, 본 발명의 실시예들은 처리 챔버 내에 세정 가스를 분배하고 증착 가스를 분배하는데 사용되는 가스 분배기를 제공한다.
도 1은 공지된 가스 분배기를 도시한다. 가스 분배기(100)는 가스 편향면(102) 및 가스 분배기 면(104)을 구비한다. 가스 편향면(102)은 챔버 세정 프로세스 동안 세정 가스용 통로를 제공한다. 세정 가스는 가스 분배기 바로 아래에 위치되는 기판 지지 부재 대신에 챔버 벽으로 인도된다. 가스 분배기(100)는 근위부(proximal portion; 106)에서 챔버 벽에 연결된다. CVD 프로세스 동안, 근위단(proximal end; 108)에서 가스 분배기(100)로 증착 가스가 공급된다. 이러한 증착 가스는 가스 분배기(100)를 통과해서, 개구(110)에서 배출되어, 기판 지지 부재 상의 기판 위치 위로 유동한다. 도 1에 도시된 바와 같이, 개구(110)는 계단부(112), 즉 상승면에서 가스 분배기 면(104) 상에 배치된다.
1. 예시적인 ICP 챔버
본 출원의 발명자는 어플라이드 머티어리얼스, 인코포레이티드(APPLIED MATERIAL, INC.)에 의해 제조된 ULTIMATM시스템으로 본 발명의 실시예를 실행하였으며, 이러한 시스템은 그 전체가 여기에 참조된, 통상적으로 양도된 미국특허 제5,994,662호; 제6,170,428호; 및 제6,450,117호와; 미국특허출원 제10/963030호 및 제11/075527호에 개시되어 있다. ICP 반응기의 개관이 도 2와 관련하여 제공된다. 도 2는 일 실시예에서의 예시적인 HDP-CVD 시스템(210)의 구조를 개략적으로 도시한다. HDP-CVD 시스템(210)은 챔버(213), 진공 시스템(270), 소스 플라즈마 시스 템(source plasma system; 280A), 바이어스 플라즈마 시스템(280B), 가스 전달 시스템(233), 및 원격 플라즈마 세정 시스템(250)을 포함한다. 원격 플라즈마 세정 시스템(250)은 시스템의 하부에 도시되어 있지만, 예를 들어, 그 전체가 여기에 참조된 미국특허출원 제10/963030호에 개시된 바와 같이 챔버의 최상부 부근과 같이, 다른 위치들도 가능하다.
챔버(213)의 상부는 돔(dome; 214)을 포함하며, 돔(214)은 산화 알루미늄 또는 질화 알루미늄, 사파이어, SiC 또는 석영과 같은 세라믹 유전체 물질로 이루어진다. 가열기 플레이트(223) 및 냉각 플레이트(224)가 돔(214) 위에 장착되며 상기 돔(214)에 열적으로 연결된다. 가열기 플레이트(223) 및 냉각 플레이트(224)는 약 100℃ 내지 200℃의 범위에 걸쳐 약 ±10℃ 내로 돔의 온도를 제어할 수 있다. 돔(214)은 플라즈마 처리 영역(216)의 상부 경계(upper boundary)를 형성한다. 플라즈마 처리 영역(216)은 기판 지지 부재(218) 및 기판의 상부면에 의해 바닥의 경계가 정해진다.
챔버(213)의 하부는 바디 부재(222)를 포함하는데, 이 바디 부재(222)는 챔버(213)를 진공 시스템에 결합시킨다. 기판 지지 부재(218)의 기부(base portion; 221)는 바디 부재(222) 상에 장착되며 바디 부재(222)와 함께 연속적인 내부면을 형성한다. 기판은 로봇 블레이드(도시 안됨)에 의해 챔버(213)의 측면의 삽입/제거 구멍(도시 안됨)을 통해 챔버(213) 내외로 이송된다. 상부 로딩 위치(upper loading position; 257)의 로봇 블레이드로부터 기판 지지 부재(218)의 기판 수용부(219) 상에 기판이 배치되는 하부 처리 위치(256)로 기판을 이동시키기 위해, 리 프트 핀(도시 안됨)이 모터의 제어 하에서 상승된 후 하강된다. 기판 수용부(219)는 기판 처리 동안 기판 지지 부재(218)에 기판을 고정시키는 정전 척(220)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(218)는 산화 알루미늄 또는 알루미늄 세라믹 물질로 이루어진다.
진공 시스템(270)은 스로틀 바디(throttle body; 225)를 포함하는데, 이 스로틀 바디(225)는 한 쌍의 블레이드 스로틀 밸브(226)를 보유하며 게이트 밸브(227) 및 터보-분자 펌프(228)에 부착된다. 스로틀 바디(225)는 가스 유동을 위한 최소 장애물을 제공하며 대칭형 펌핑을 허용한다. 게이트 밸브(227)는 스로틀 바디(225)로부터 펌프(228)를 고립시킬 수 있으며, 스로틀 밸브(226)가 완전히 개방될 때 배출 유동 용량을 제한함으로써 챔버 압력을 또한 제어할 수 있다. 스로틀 밸브, 게이트 밸브 및 터버-분자 펌프의 배열은 약 1 밀리토르(millitorr) 내지 약 2 토르(torr)로 챔버 압력을 정확하고 안정되게 제어할 수 있다.
가스 전달 시스템(233)은 여러 개의 소스(234A-234E)로부터 가스 전달 라인(238)(이들 중 단지 일부가 도시됨)을 통해 기판을 처리하기 위한 챔버로 가스를 제공한다. 당업자라면 이해할 수 있듯이, 소스(234A-234E)에 대해 사용되는 실제 소스와 가스 전달 라인(238)의 실제 연결은 챔버(213) 내부에서 실행되는 증착 및 세정 프로세스에 따라 변화된다. 가스들은 가스 링(237) 및/또는 가스 분배기(211)를 통해 챔버(213) 안으로 도입된다.
일 실시예에서, 제1 및 제2 가스 소스(234A, 234B)와 제1 및 제2 가스 유동 제어기(235A', 235B')는 가스 전달 라인(238)(이들 중 일부만이 도시됨)을 통해 가 스 링(237) 내의 링 플래넘(ring plenum)으로 가스를 제공한다. 가스 링(237)은 복수의 소스 가스 노즐(239)(간단한 도시를 위해 이들 중 하나만이 도시됨)을 구비하며, 이 소스 가스 노즐(239)은 기판에 대해 가스의 균일한 유동을 제공한다. 노즐 길이 및 노즐 각도는 개별의 챔버 내에서의 특수한 프로세스에 대해 균일성 프로파일 및 가스 이용 효율성을 맞출 수 있도록 변화될 수 있다. 바람직한 실시예에서, 가스 링(237)은 알루미늄 산화물 세라믹으로 이루어진 12개의 소스 가스 노즐을 구비한다.
가스 링(237)은 복수의 산화제 가스 노즐(240)(이들 중 하나만이 도시됨)을 또한 구비하며, 이 산화제 가스 노즐(240)은 바람직한 실시예에서 소스 가스 노즐(239)과 공통 평면을 가지며 소스 가스 노즐(239)보다 짧고, 일 실시예에서는 바디 플래넘으로부터 가스를 수용한다. 일부의 실시예에서, 챔버(213) 안으로 가스들을 분사하기 전에 소스 가스 및 산화제 가스를 혼합시키는 것을 바람직하지 않다. 다른 실시예에서, 산화제 가스 및 소스 가스는 바디 플래넘과 가스 링 플래넘 사이에 개구(도시 안됨)를 제공함으로써 챔버(213) 안으로 가스들을 분사하기 전에 혼합될 수도 있다. 일 실시예에서, 제3, 제4 및 제5 가스 소스(234C, 234D, 234D')와, 제3 및 제4 가스 유동 제어기(235C, 235D')는 가스 전달 라인(238)을 통해 바디 플래넘에 가스를 제공한다. 도면부호 '243B'와 같은 추가의 밸브(다른 밸브들은 도시 안됨)가 유동 제어기로부터 챔버로의 가스를 차단할 수 있다.
가연성, 유독성 또는 부식성 가스들이 사용되는 실시예에서는, 증착 후에 가스 전달 라인 내에 남아있는 가스를 제거하는 것이 바람직할 수 있다. 이것은 예 를 들어, 가스 전달 라인(238A)으로부터 챔버(213)를 격리시키고 진공 전방라인(244)으로 가스 전달 라인(238A)을 통로를 연결시키기 위해 밸브(243B)와 같은 3방향 밸브를 사용하여 달성될 수 있다. 도 2에 도시된 바와 같이, 밸브(243A, 243C)와 같은 다른 유사한 밸브들이 다른 가스 전달 라인 상에 일체화될 수 있다.
도 2를 다시 참조하면, 챔버(213)는 또한 가스 분배기(211) 및 최상부 통기구(246)를 구비한다. 가스 분배기(211) 및 최상부 통기구(246)는 가스들의 최상부 및 측면 유동의 독립적인 제어를 허용하며, 이는 막 균일성을 향상시키고 막의 증착 및 도핑 매개변수의 미세 조절을 허용한다. 최상부 통기구(246)는 가스 분배기(211) 둘레의 환형 개구이다. 가스 분배기(211)는 가스 분배를 향상시키기 위해 본 발명의 일 실시예에 따른 단계에서 복수의 개구(aperture)를 포함한다. 일 실시예에서, 제1 가스 소스(234A)는 소스 가스 노즐(239) 및 가스 분배기(211)를 공급한다. 소스 노즐 MFC(235A')는 소스 가스 노즐(239)로 전달되는 가스의 양을 제어하며, 상부 노즐 MFC(235A)는 가스 분배기(211)로 전달되는 가스의 양을 제어한다. 유사하게, 2개의 MFC(235B, 235B')는 소스(234B)와 같은 산소의 단일 소스로부터 최상부 통기구(246) 및 산화제 가스 노즐(240) 모두로의 산소의 유동을 제어하는데 사용될 수 있다. 가스 분배기(211) 및 최상부 통기구(246)로 공급되는 가스들은 챔버(213) 안으로 가스들을 유동시키기 전에 분리된 상태로 유지될 수 있거나, 가스들은 챔버(213) 안으로 유동하기 전에 최상부 플래넘(248) 내에서 혼합될 수도 있다. 동일한 가스의 개별의 소스는 챔버의 여러 부분들을 공급하는데 사용될 수 있다.
시스템 제어기(260)는 시스템(210)의 작동을 제어한다. 바람직한 실시예에서, 시스템 제어기(260)는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시 안됨), 및 프로세서(261)에 연결된 카드 랙(card rack)(도시 안됨)과 같은 유형 매체를 포함하는 메모리(262)를 포함한다. 카드 랙은 단일-보드 컴퓨터(SBC)(도시 안됨), 아나로그 및 디지털 입력/출력 보드(board)(도시 안됨), 인터페이스 보드(도시 안됨), 및 스테퍼 모터 제어기 보드(stepper motor controller board)(도시 안됨)를 포함할 수 있다. 시스템 제어기는 보드, 카드 케이지 및 커넥터 치수 및 타입을 규정하는 Versa Modular European("VME") 표준을 따른다. VME 표준은 또한 16-비트 데이타 버스 및 24-비트 어드레스 버스를 갖는 버스 구조를 규정한다. 시스템 제어기(260)는 예를 들어 하드 디스크 드라이브와 같은 유형 매체 상에 저장되는 컴퓨터 프로그램의 제어 하에서, 또는 제거가능한 디스크 상에 저장된 프로그램과 같은 다른 컴퓨터 프로그램을 통해 작동한다. 컴퓨터 프로그램은 예를 들어 타이밍, 가스의 혼합, RF 전력 수준, 및 특수한 프로세스의 다른 매개변수를 명령한다. 사용자와 시스템 제어기 사이의 인터페이스는 캐소드 레이 튜브(cathode ray tube)("CRT")와 같은 모니터와 광 펜(light pen)을 통해서 이루어진다.
시스템 제어기(260)는 챔버의 시즈닝 타임과 챔버를 시즈닝하는데 사용되는 가스들, 세정 시간 및 챔버를 클리닝하는데 사용되는 가스들, 및 HDP CVD 프로세스에 의한 플라즈마의 적용을 제어한다. 이러한 제어를 달성하기 위해, 시스템 제어기(260)는 진공 시스템(270), 소스 플라즈마 시스템(280A), 바이어스 플라즈마 시스템(280B), 가스 전달 시스템(233), 및 원격 플라즈마 세정 시스템(250)에 연결된 다. 시스템 제어기(260)는 라인(263)에 의해 진공 시스템(270)에 연결된다. 시스템 제어기(260)는 라인(264A)에 의해 소스 플라즈마 시스템(280A)에 연결되며 라인(264B)에 의해 바이어스 플라즈마 시스템(280B)에 연결된다. 시스템 제어기(260)는 라인(265)에 의해 가스 전달 시스템(233)에 연결된다. 시스템 제어기(260)는 라인(266)에 의해 원격 플라즈마 세정 시스템(250)에 연결된다. 라인들(263, 264A, 264B, 265, 266)은 시스템 제어기(260)로부터 진공 시스템(270), 소스 플라즈마 시스템(280A), 바이어스 플라즈마 시스템(280B), 가스 전달 시스템(233), 및 원격 플라즈마 세정 시스템(250)으로 각각 제어 신호를 전송한다. 시스템 제어기(260)가 시스템(210)의 부품들을 제어하기 위해 여러 곳에 분배된 프로세서를 포함할 수 있음을 이해할 것이다.
2. 배플(baffle) 특성
여기 설명되는 본 발명의 실시예들은 HDP-CVD 프로세스 내의 챔버 벽을 향해 수평으로 세정 가스를 실질적으로 편향시키고 실질적으로 인도하도록 배플의 오목면을 사용한다. 오목면에 의해 세정 가스를 편향시키고 오목면에 의해 챔버 벽을 향해 세정 가스를 인도함으로써, 배플의 가스 편향면으로부터 열이 용이하게 전도된다. 이러한 열 전도는 오목한 가스 편향면과 연관된 배플 형상에서 기인한다. 챔버 벽을 향해 세정 가스를 실질적으로 편향시키고 실질적으로 인도하기 위한 오목한 가스 편향면의 사용은 실질적인 연장 플랜지의 사용없이 세정 가스를 편향 및 인도할 수 있게 한다.
Al 웨이퍼 오염물에 적어도 2개의 메카니즘이 기여하는 것으로 보인다. 하 나의 메카니즘은 플루오르화 알루미늄(AlF3)의 형성이다. 배플은 전형적으로 단편의 질화 알루미늄(AlN) 또는 산화 알루미늄(Al2O3)으로 이루어지며, 이들 물질들 중 하나는 플루오르화 알루미늄을 형성하도록 불소와 반응할 수 있다. 배플 상에의 플루오르화 알루미늄(AlF3)의 형성은 세정/에칭 단계 동안 배플 온도와 관련된다. 웨이퍼 오염에 기여하는 다른 메카니즘은 플루오르화 알루미늄(AlF3)의 승화이다. 상기한 2개의 메카니즘의 결과로, 화학 반응에 의해 배플 상에 플루오르화 알루미늄(AlF3)이 형성되며, 이어서, 플루오르화 알루미늄(AlF3)은 승화에 의해 제거되어, 불소와 반응하여 추가의 질화 알루미늄(AlN) 또는 산화 알루미늄(Al2O3)을 허용한다. 세정 에칭 단계 동안 배플의 저온으로 인해 플루오르화 알루미늄(AlF3)의 승화가 적게 발생된다. 승화된 플루오르화 알루미늄(AlF3)이 반도체 웨이퍼 기판 상에 증착됨에 따라, 플루오르화 알루미늄(AlF3)의 승화가 보다 적어짐으로 인해 갭-충전 프로세스에 의해 형성되는 막 상에 Al이 보다 적어진다.
오목면을 갖는 챔버 벽을 향해 세정 가스를 편향 및 인도함으로써, 처리된 웨이퍼의 갭 충전 층 상의 Al 오염물을 감소시킬 수 있다. 전형적으로, 금속 원자로부터의 웨이퍼 오염물은 웨이퍼 내에 증착되는 주요 종(dominant species)의 금속 원자를 포함한다. 이러한 웨이퍼 내의 주요 종의 금속 원자는 예를 들어 AlN 가스 분배기로부터의 Al 금속 원자와 같은, 가스 분배기 내의 주요 종의 금속 원자 에 대응한다. 배플 상의 승화 및 화학 반응을 감소시킴으로써, 증착 프로세스 동안 배플로부터 제거되는 금속 원자의 양이 감소되며, 웨이퍼 상에 증착되는 금속 원자의 개수가 감소된다. 따라서, 배플 상의 보다 얇은 보호 코팅이 Al 오염물을 감소시키기 때문에, 시즈닝 타임이 감소될 수 있다. 이 결과, 반도체 웨이퍼 수율이 증가되는 한편, Al 웨이퍼 오염물을 여전히 적게 제공한다.
본 발명의 실시예들은 저, 중 및 고 전력 HDP/CVD 프로세스와 함께 사용될 수 있다. 고 전력 프로세스는 전형적으로 약 15 내지 18 kW의 범위의 전력을 포함한다. 중 전력 프로세스는 전형적으로 약 8 내지 12 kW의 범위의 전력을 포함한다. 저 전력 프로세스는 일반적으로 약 8 kW 이하이다. 본 발명의 다수의 실시예들은 300 mm 웨이퍼를 처리하는데 사용될 수 있지만, 예를 들어 200 mm 웨이퍼와 450 mm 웨이퍼와 같은 다른 웨이퍼 크기가 본 발명의 실시예들에 의해 처리될 수 있다.
도 3a는 본 발명의 일 실시예에 따른 가스 분배기의 단면도이다. 이 도면은 단지 실례이며, 여기에 개시되는 특허청구범위의 범위를 부당하게 제한해서는 안된다. 당업자는 다른 변형, 변경 및 대안예를 인지할 수 있을 것이다. 도시된 바와 같이, 본 발명은 반도체 처리 챔버 안으로 가스를 도입시키는 가스 분배기(300)를 제공한다. 가스 분배기(300)는 질화 알루미늄(AlN)으로 이루어지며, 산화 알루미늄(알루미나, Al2O3), 실리콘 카바이드(SiC), 지르코늄, 석역, 사파이어 등과 같은 임의의 적합한 물질로 이루어질 수 있다. 본 실시예에서, 가스 분배기(300)는 단 편이다.
가스 분배기(300)는 가스 편향면(302) 및 가스 분배기 면(304)을 구비한다. 가스 편향면(302)은 챔버 세정 프로세스 동안 세정 가스를 위한 통로를 제공한다. 세정 가스들은 가스 분배기 바로 아래에 위치하는 기판 지지 부재 대신에 챔버 벽으로 인도된다. 이 가스 분배기(300)는 근위 부분(proximal portion; 306)에서 챔버 벽에 연결된다. CVD 프로세스 동안, 근위단(308)에서 가스 분배기(300)에 증착 가스가 공급된다. 근위단(308) 둘레로 환형으로 림(rim; 338)이 연장된다. 이러한 증착 가스는 가스 분배기(300)를 통해 유동하며, 개구(310)에서 배출되어, 기판 지지 부재 상의 기판 위치 상으로 유동한다.
가스 분배기 면(304) 상의 상승된 표면인 계단부(312)에 개구(310)가 배치된다. 계단부(312)는 소정의 직경을 갖는 가스 분배기(304) 상에서 타원형 레벨,또는 보다 바람직하게는 원형 레벨을 형성할 수 있다. 이러한 소정의 직경은 약 0.01 인치 내지 약 3.00 인치의 범위일 수 있다. 계단부(312)는 약 0.60 인치 내지 약 0.75 인치의 범위의 수직 높이와, 약 90도 내지 약 15도의 범위의 경사도를 가질 수 있다. 계단부(312)는 가스 분배기(300)의 가스 분배를 향상시킨다. 특히, 이 계단부(312)에 의해 증착 가스는 기판 지지 부재의 외주로 보다 바깥으로 분산될 수 있다. 계단부(312)의 경사도를 감소시킴으로써 가스를 외부를 향해 추가로 분산시킨다. 가스 분배기(300)는 계단부 및 개구의 실시예를 도시하지만, 예를 들어, 그 전체가 여기에 참조된 미국특허출원 제11/075527호(출원일:2005년 3월 7일)에 개시된 바와 같이, 다른 실시예들도 가능하다.
특정 실시예에서, 가스 분배기(300)는 4개, 6개, 8개 또는 그 이상의 개구(310)를 가질 수 있다. 이들 개구(310)는 계단부(312)의 둘레를 따라 균일하게 분배되거나, 대안으로, 계단부(312)의 특정 부분에 가중된다. 개구(310)의 배치 및 개수는 기판까지 증착 가스의 균일한 분배를 달성하기 위해 특정 응용 분야에 대해 조율될 수 있다. 마찬가지로, 개구(310)의 직경도 조율될 수 있다. 직경은 약 0.005 인치 내지 약 0.250 인치의 범위에 있을 수 있다. 특정 실시예에서, 개구(310)의 직경은 0.060 인치이다.
배플(320)은 세정 가스를 편향시키도록 형성될 수 있다. 배플(320)은 가스 편향면(302)을 포함한다. 가스 편향면(302)은 오목면(322) 및 볼록면(346)의 일부를 포함한다. 가스 편향면(302) 및 배플(320)은 축선(314)을 중심으로 회전식으로 대칭을 이룬다. 오목면(322)은 반경(324)에 맞도록 형성된다. 오목면(322)은 상부(328) 및 하부(329)를 포함하여, 오목면(322)이 상부 경계(322a)로부터 하부 경계(322b)로 연장된다. 반경(324)의 원호(326)는 상부 경계(322a)로부터 하부 경계(322b)로 연장된다. 가스 편향면(302)은 하부 경계(322b)에서 오목면(322)으로부터 볼록면(346)으로 변이된다. 볼록면(346)은 플랜지(330) 내에 형성된다.
볼록면(346)은 반경(348)의 원호 경로와 조화되도록 형성된다. 볼록면(346) 및 오목면(322)은 가스 편향면(302)이 연속면에 의해 하부 경계(322b)에서 오목면(322)으로부터 볼록면(346)으로 변이되도록 정렬된다. 볼록면(346)과 오목면(322)의 교차부는 약간 경사져서 하부 경계(322b)와 같은 매끄러운 연속면 변이부(transition)를 제공한다. 대안의 실시예에서, 오목면의 각도가 변이부에서 볼 록면의 각도와 조화를 이룸에 따라 오목면에서 볼록면으로의 변이부가 완전히 매끄러워지도록 볼록면과 오목면의 경사도를 하부 경계(322b)에서 영(zero)로 설정함으로써, 상기한 매끄러운 변이부가 달성된다. 또 다른 실시예에서, 볼록면과 오목면이 교차부는 실질적인 각도로 경사져서 오목면으로부터 볼록면으로의 변이부에 작은 굽힘부를 갖는 연속적인 변이부를 제공한다.
가스 분배기(300)는 가스 분배기를 가로지르는 최대 폭(340)을 포함한다. 최대 폭(340)은 볼록면(346)을 가로지르는 직경에 상응한다. 최대 폭(340)은 약 1.4 내지 2.0 인치의 범위를 가지며, 전형적으로 약 1.7 인치이다.
가스 분배기의 하부면에 대해, 가스 분배기 면(304)과 볼록면(346) 사이의 변이부에는 오목면(322)으로부터 볼록면(346)으로의 매끄러운 변이부와 같은 매끄러운 변이부가 형성된다. 플랜지(330)는 두께(342)를 갖는다. 두께(342)는 반경(348)의 2배의 거리에 상응한다.
도 3b는 본 발명의 일 실시예에 따른 반도체 처리 챔버 내에 위치되는 가스 분배기의 단면도이다. 가스 분배기(300)는 반도체 가스 처리 챔버 내에 위치되도록 구성된다. 챔버 내에 위치될 때, 가스 편향면(302)은 가스 분배기의 노출된 상부면에 대응되며, 가스 분배기 면(304)은 가스 분배기의 노출된 하부면에 대응된다. 가스 분배기(300)는 환형 구조물(360)에 의해 지지된다. 이 환형 구조물(360)은 증착 가스를 전달하도록 내부에 형성된 채널(362)을 구비한다. 림(338)은 환형 구조물(360)과 맞물리며 환형 구조물(360)과 시일(seal)을 형성하도록 구성된다. 나사산(thread; 336)은 환형 구조물(360) 상의 대응하는 나사산과 짝맞춤 된다. 오목한 가스 편향면의 상부(328)와 인접한 가스 분배기 상에 견부(334)가 위치된다. 이 견부(334)는 환형 구조물(360) 내에 형성된 대응하는 표면과 짝맞춤된다. 환형 구조물(360)은 환형 지지 구조물로부터 가스 편향면으로 매끄러운 면 변이를 제공하도록 오목한 가스 편향면의 상부(328)와 조화되는 외부면(346)을 구비한다.
배플은 제1 방향으로부터, 제1 방향을 가로지르는 제2 방향으로 세정 가스의 유동을 전환시키는 작용을 한다. 최상부 통기구(246)는 가스 편향면의 상부(328)를 따라 제1 방향(350)으로 아래로 세정 가스를 인도한다. 오목면(322)은 오목면(322)의 하부(329)를 따라 제2 방향(352)으로 수평으로 세정 가스를 인도하며, 제2 방향(352)은 제1 방향(350)을 가로지른다. 오목면(322)은 또한 제3 방향(354)으로 수평으로 세정 가스를 인도하며, 제3 방향(354)은 제1 방향(350)을 가로지른다. 오목면(322)은 가스 편향면(302)의 노출된 표면적의 약 90%를 포함한다. 가스 편향면(302)은 플랜지(330)의 두께(342)에 미치지 못 하는 거리로 제2 방향(352)을 따라 연장된다.
도 3c는 본 발명의 일 실시예에 따른 도 3a에 도시된 바와 같은 가스 분배기의 평면도이다. 도 3c에 도시된 바와 같이, 도 3a에 도시된 다수의 구조물은 환형이며 회전적으로 대칭을 이룬다. 가스 분배기(300)의 외부 가장자리(332)는 원형 형상을 갖는다. 플랜지(330)는 환형 형상을 갖는다. 하부 경계(322b)는 원형 형상을 갖는다. 가스 편향면의 오목면(322)은 환형 형상을 갖는다. 오목면(322)의 상부 경계(322a)는 원형 형상을 갖는다. 견부(334)는 환형 형상을 갖는다. 나사 산(336)은 환형 형상을 갖는다. 림(338)은 환형 형상을 갖는다. 참조로 축선(318)이 도시된다.
도 3a 및 도 3c를 참조하면, 오목면(322)이 제1 원환체의 일부분을 포함하며, 볼록면(346)이 제2 원환체이 일부분을 포함한다. 오목면(322)은 축선(314)을 중심으로 회전되는 반경(324)에 의해 형성되어 제1 원환체를 형성한다. 볼록면(346)은 축선(318)을 중심으로 회전되는 반경(348)에 의해 형성되어 제2 원환체를 형성한다. 따라서, 하부 경계(322b)는 제1 원환체 면으로부터 제2 원환체 면으로의 매끄러운 변이부와 짝맞춤되도록 구성되는 2개의 원환체 면의 교차에 의해 형성되는 경계이다. 대안의 실시예에서, 오목면 또는 볼록면 중 어느 하나, 또는 둘 모두는 예를 들어, 타원형 곡면, 입방형 스플라인(spline) 등의 형상과 같은 비원환체 형상으로 형성된다. 또한, 몇 개의 작은 계단부를 이용하는 단차진 표면(stepped surface)은 오목면 및 볼록면을 형성하는데 이용될 수 있다.
도 4는 본 발명의 일 실시예에 따른 절두형 가스 편향면을 갖는 가스 분배기(400)의 단면도이다. 배플(420)은 그 위에 형성된 가스 편향면(402)을 구비한다. 가스 편향면(402)은 상부(328) 및 하부(329)를 포함하는 상기한 바와 같은 오목면(322)을 포함한다. 가스 편향면(402)은 환형 가장자리(482)에서 종결된다. 플랜지(430)는 원통형 외부면(432)을 포함한다. 배플을 가로지르는 최대 폭(440)은 원통형 외부면(432)을 가로지르는 직경에 상응한다. 환형 가장자리(482)는 원통형 외부면(432)과 가스 편향면(402)을 연결시킨다. 환형 가장자리(482)는 짧은 곡률 반경에 맞도록 곡선을 이루며 볼록한 원환체 면을 포함한다. 환형 가장자 리(484)는 원통형 외부면(432)과 가스 분배기 면(304)을 연결시킨다. 플랜지(430)의 두께(442)는 환형 가장자리(484) 부근에서 하부(329)로부터 가스 분배기 면(304)의 환형 부분까지 플랜지(430)를 가로지르는 거리에 상응한다.
도 5는 본 발명의 일 실시예에 따라 오목한 가스 편향면과 볼록한 가스 편향면 사이로 연장되는 환형 평면 변이부(564)를 갖는 가스 분배기(500)의 단면도이다. 오목면 및 볼록면은 상기한 바와 같은 원환체 표면이다. 가스 분배기(500)는 위에 형성된 가스 편향면(502)을 갖춘 배플(520)을 포함한다. 오목부(522)는 가스 편향면(502)의 노출된 표면적의 약 75%를 포함한다. 가스 분배기(500)는 상기한 바와 같이 개구(310) 및 계단부(312)에 의해 증착 가스를 분배시키도록 구성되는 가스 분배기 면(504)을 포함한다. 가스 편향면(502)은 오목면(322), 환형 평면 변이부(564), 및 볼록면(546)의 상부를 포함한다. 오목면(322)은 상부 경계(322a)와 하부 경계(322b) 사이로 연장되며 상기한 바와 같이 상부(328) 및 하부(329)를 포함한다. 환형 평면 변이부(564)는 오목면(322)으로부터 볼록면(546)으로 매끄러운 변이를 제공한다.
볼록면(546)은 반경(548) 및 가스 분배기의 다른 특성을 포함한다. 볼록면(546)은 상부 경계(546a)와 하부 경계(546b) 사이로 연장된다. 볼록면(546)은 외부 가장자리(532)를 포함한다. 가스 분배기를 가로지르는 최대 폭(540)은 외부 가장자리(532)에 의해 형성되는 가스 분배기를 가로지르는 직경에 상응한다. 환형 평면 변이부(564)는 오목면(322)의 하부 경계(322b)와 볼록면(546)의 상부 경계(546a) 사이로 연장된다. 하부 경계(546b)는 볼록면(546)과 가스 분배기 면(504) 사이에 위치된다. 플랜지(530)는 환형 평면 변이부(564) 및 볼록면(546)을 포함한다. 플랜지 두께(542)는 반경(548)의 2배인 거리에 상응한다.
환형 평면 변이부의 치수는 가스 분배기의 다른 치수들과 관련된다. 예를 들어, 최대 폭(540)을 따라 환형 평면 변이부(564)의 거리는 도 5에 도시된 바와 같은 최대 폭의 단지 약 10%이다. 일부의 실시예에서, 환형 평면 변이부는 최대 폭의 단지 약 20%이다. 다른 실시예에서, 환형 평면 변이부의 거리는 최대 폭의 단지 약 5%이다. 일부의 실시예에서는, 오목부가 볼록부와 오목부 사이의 경계를 따라 볼록부로 바로 변이될 때, 예를 들어 도 3a 내지 도 3c에 도시된 바와 같이, 환형 평면 변이부가 존재하지 않는다.
도 6은 본 발명의 일 실시예에 따라, 감소된 시즈닝 시간과 Al 오염물을 갖는 웨이퍼 처리 방법(600)을 나타내는 흐름도이다. 세정 챔버 단계(610)는 예를 들어 도 3a 내지 도 3c에 도시된 바와 같이, 상기한 바와 같은 가스 배플에 의해 챔버를 세정한다. 챔버를 세정하는데 필요한 시간의 양은 사용되는 반도체 프로세스의 성질, 시즈닝 시간, 세정 가스 및/또는 사용되는 가스와 온도에 좌우된다. 전형적인 시간 범위는 약 2분 내지 7분이며, 종종 3분 내지 5분이며, 예를 들어 3분 내지 4분이다. 챔버를 시즈닝하는 단계(620)는 예를 들어 보호성 SiO2 층을 증착시키는데 사용되는 실란과 같은, 상기한 바와 같은 보호 코팅을 제공하기 위한 증착 가스에 의해 챔버를 시즈닝한다. 챔버를 시즈닝하기 위한 시간의 양은 60초 미만, 예를 들어 약 25초 내지 60초, 종종 약 25초 내지 45초, 바람직하게 약 25초 내지 35초이다. 웨이퍼를 삽입하는 단계(630)는 상기한 바와 같이 웨이퍼가 위치되도록 챔버 안으로 반도체 웨이퍼를 삽입한다. 웨이퍼에 HDP-CVD 갭-충전 층을 적용하는 단계(640)는 상기한 바와 같이 HDP-CVD 프로세스에 의해 웨이퍼에 갭 충전 층을 적용한다. 이러한 HDP-CVD 프로세스는 저 전력 프로세스, 중 전력 프로세스 및 고 전력 프로세스 중 하나일 수 있다. 갭 충전 프로세스는 전형적으로 약 60초 동안 적용된다. 갭 충전 프로세스에 적용되는 갭 충전 층의 두께는 종종 약 4000 A (400 nm) 내지 8000 A (800 nm), 예를 들어 약 4000 A (400 nm) 내지 6000 A (600 nm), 전형적으로 약 5000 A (500 nm)이다. 처리된 웨이퍼의 갭-충전 층에서의 알루미늄 오염물의 정확한 양은 선택된 정확한 매개변수에 좌우될 것이며, Al 원자의 개수는 전형적으로 2×1012 atom/cm2 미만, 예를 들어 약 0.3×1012 atom/cm2 내지 2.0×1012 atom/cm2이고, 약 0.3×1012 atom/cm2 내지 1.5×1012 atom/cm2이며, 바람직하게 약 0.3×1012 atom/cm2 내지 1.0×1012 atom/cm2이다. 웨이퍼 제거 단계(650)는 웨이퍼가 챔버의 외부에서 추가의 프로세스 단계로 실행될 수 있도록 챔버로부터 웨이퍼를 제거한다. 웨이퍼 제거 단계(650) 후에, 챔버가 세정되고, 추가의 웨이퍼에 대해 단계(610) 내지 단계(650)가 반복된다.
원하는 수준의 금속 원자 오염을 제공하도록 챔버 세정 시간, 시즈닝 시간 및 프로세스 전력의 임의의 조합이 선택된다. 시즈닝 시간이 증가함에 따라, 금속 원자 오염이 감소되고, 소정의 최대 허용량 아래인 금속 이온 오염을 제공하도록 시즈닝 시간이 선택된다. 웨이퍼 수율을 최적화하기 위해, 소정량 아래의 금속 원 자 오염을 제공하는 최단 시즈닝 시간을 선택한다. 예를 들어, 30초의 시즈닝 시간은 1.5×1012 Al atom/cm2의 최대 허용량 아래인 1.2×1012 atom/cm2의 금속 이온 오염을 제공할 수 있다. 30초의 시즈닝 시간에 의하면, 챔버 세정, 챔버 내에의 웨이퍼의 배치, 챔버 내에서의 웨이퍼 처리, 및 챔버로부터의 웨이퍼 제거를 위한 총 시간은 시간 당 적어도 약 8개의 웨이퍼의 수율에 상응한다.
도 6에 도시된 특정 단계들은 본 발명의 일 실시예에 따른 특정한 웨이퍼 처리 방법을 제공하는 것임을 이해해야 한다. 대안의 실시예에 따라 다른 순서의 단계들이 또한 실행될 수 있다. 예를 들어, 본 발명의 대안의 실시예들은 다른 순서로 상기한 개략적으로 기술된 단계들을 실행할 수 있다. 더욱이, 도 6에 도시된 개별의 단계들은 개별의 단계에 적당한 바와 같은 여러 순서들로 실행될 수 있는 복수의 하위-단계들을 포함할 수 있다. 또한, 특정 응용 분야에 따라 추가의 단계들이 추가 또는 제거될 수 있다. 당업자는 다수의 변경예, 변형예 및 대안예를 인지할 수 있을 것이다.
3. 실험 결과
본 발명의 실시예들에 의한 예비 시험은 챔버 내에서 처리되는 웨이퍼의 수율의 증가와 감소된 Al 오염을 포함한 상당한 개선이 달성될 수 있음을 보여준다. 예를 들어 알루미나와 같은 다른 가스 분배기 물질과 예를 들어 F2와 같은 다른 세정 가스가 사용될 수 있지만, 본 발명의 실시예들에 의한 시험은 NF3 세정 가스와 주조로 형성된 단편 AlN(질화 알루미늄) 가스 분배기가 전형적으로 포함되었다. 일례로서, 고 전력 프로세스를 이용한 실시예들에 의한 예비 시험은 Al 오염이 도 1에 도시된 바와 같은 종래의 배플에 대해 120초의 시즈닝 시간으로 기판 상에 1.3×1013 atom/cm2 Al 오염으로부터, 도 3에 도시된 바와 같은 배플에 대해 30초의 시즈닝 시간으로 기판 상의 1.2×1012 atom/cm2의 Al 오염으로 감소되었다. 코팅의 두께는 약 8000 A이었다. 따라서, 고 전력 프로세스에 의해 120초에서 30초로 시즈닝 시간이 감소되는 동안, Al 오염에서의 거의 1차의 크기 감소가 달성된다. 120초에서 30초로의 시즈닝 시간의 감소에도 불구하고, 웨이퍼의 처리 동안 챔버가 여러 번 시즈닝되면서 약 7개의 웨이퍼에서 8개의 웨이퍼로 시간 당 처리되는 웨이퍼의 개수가 증가될 수 있다
도 7은 본 발명의 일 실시예에 따른 도 3에 도시된 가스 분배기와 도 1에 도시된 종래의 가스 분배기의 세정 종료점의 비교를 나타낸다. 각각의 시험되는 실시예에 대한 시즈닝 시간은 동일하였다. 임의의 유닛에서의 "세정 신호" 대 시간이 도 7에 나타내어진다. 세정 신허(710, 712)는 종래의 가스 분배기와 시험한 실시예에 대해 각각 나타낸다. 세정 신호는 푸리에 변환 적외선 분광기(Fourier Transform Infrared spectroscopy; FTIR)에 의해 측정되었다. 2개의 가스 분배기에 대한 세정 신호는 거의 동일하며 실질적인 차이가 없음을 보여준다. 따라서, 본 발명의 실시예들은 시험한 실시예가 종래의 배플을 사용하는 시스템과 호환가능하도록 거의 동일한 세정 특성을 제공할 수 있으며, 시험된 실시예가 종래의 배플을 사용하는 시스템에 대한 업그레이드로서 제공될 수 있다.
도 8은 종래의 가스 분배기 대 본 발명의 실시예에 따른 도 3의 가스 분배기의 처리 챔버 내에서의 Al 오염의 비교를 보여준다. Al 오염에 대한 배플의 효과의 평가를 제공하기 위해 양 배플에 대해 사용되는 프로세스에 대한 시즈닝 시간이 120초로 설정되었다. 프로세스 A는 대략 10 kW의 전력을 갖는 중 전력 프로세스였으며, 프로세스 가스는 NF3, He, SiH4 및 O2 가스를 포함하였다. 프로세스 A가 NF3와 중 전력을 사용하므로, 불소와 연관된 화학 반응이 챔버 오염의 주요 원인으로 판단된다. 프로세스 B는 대략 18 kW의 전력을 갖는 고 전력 프로세스였으며, 프로세스 가스는 NF3, H2, SiH4 및 O2 가스를 포함하였다. 프로세스 B가 고 전력 프로세스였으므로, 예를 들어 승화와 같은 열 반응이 챔버 오염의 주요 원인으로 판단된다. 프로세스 A에 대해, 시험한 종래의 배플은 대략 200×1010 Al atom/cm2의 Al 오염을 제공하였고, 시험한 본 발명의 실시예는 대략 30×1010 Al atom/cm2를 제공하였다. 프로세스 B에 의해 적용되는 코팅의 두께는 약 8000 A이었다. 따라서, 시험한 본 발명의 실시예는 중 전력 프로세스에 의해 대략 3배의 개선을, 그리고 고 전력 프로세스에 의해 대략 30배의 개선을 제공하였다.
상기한 장치 및 방법의 배열은 단지 본 발명의 원리의 적용의 도해적인 것이며, 아래의 특허청구범위에서 정의하는 바와 같은 본 발명의 범위와 개념에서 벗어나지 않는다면 다수의 다른 실시예와 변경예가 고려될 수 있다. 따라서, 본 발명의 범위는 상기한 설명을 참조로 결정되는 것이 아니라, 본 발명의 균등물의 전체 범위와 함께 첨부된 청구범위를 참조로 결정되어야 한다.
도 1은 기지의 가스 분배기의 단면도이다.
도 2는 ICP 반응기 시스템의 단순화된 단면도이다.
도 3a는 본 발명의 일 실시예에 따른 가스 분배기의 단면도이다.
도 3b는 본 발명의 일 실시예에 따른 반도체 처리 챔버 내에 배치되는 가스 분배기의 단면도이다.
도 3c는 본 발명의 일 실시예에 따른 도 3a와 같은 가스 분배기의 평면도이다.
도 4는 본 발명의 일 실시예에 따른 절두형 가스 편향면을 갖는 가스 분배기의 단면도이다.
도 5는 본 발명의 일 실시예에 따른 오목 및 볼록 가스 편향면 사이로 연장되는 환형 평면부를 갖는 가스 분배기의 단면도이다.
도 6은 본 발명의 일 실시예에 따른, 감소된 시즈닝 시간 및 감소된 Al 오염을 갖는 웨이퍼 처리 방법을 도시하는 흐름도이다.
도 7은 본 발명의 일 실시예에 따른, 도 1에서와 같은 가스 분배기 대 도 3에서와 같은 가스 분배기의 종료점을 비교하는 비교도이다.
도 8은 본 발명의 일 실시예에 따른 도 1의 기재의 가스 분배기 대 도 3의 가스 분배기에 의한 처리 챔버 내의 Al 오염의 비교도이다.
*도면의 주요 부분에 대한 부호의 설명*
210: HDP-CVD 시스템 211: 가스 분배기
213: 챔버 225: 스로틀 바디
226: 스로틀 밸브 227: 게이트 밸브
228: 터보-분자 펌프 233: 가스 전달 시스템
234A-234E: 소스 237: 가스 링
238: 가스 전달 라인 240: 가스 노즐
250: 원격 플라즈마 세정 시스템 260: 시스템 제어기
270: 진공 시스템 280A: 소스 플라즈마 시스템
280B: 바이어스 플라즈마 시스템 300, 400: 가스 분배기
302, 402: 가스 편향면 304: 가스 분배기 면
310: 개구 312: 계단부
320, 420: 배플 322: 오목면
328: 상부 334: 견부
336: 나사산 340: 최대 폭
342: 두께 346: 볼록면
348: 반경 360: 환형 구조물
430: 플랜지 432: 원통형 외부면
482: 환형 가장자리

Claims (20)

  1. 반도체 처리 챔버 내에 사용되는 가스 분배기로서,
    제1 방향에서 제2 방향으로 가스의 유동을 전환시키기 위한 가스 편향면을 갖춘 배플을 포함하는 바디를 포함하며,
    상기 가스 편향면은 오목면을 포함하고, 상기 오목면은 상기 가스 편향면의 표면적의 약 75% 이상을 포함하는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  2. 제 1 항에 있어서,
    상기 바디는 상기 바디의 외주 둘레에 위치되는 플랜지를 포함하며, 상기 플랜지는 상기 오목면으로부터 상기 바디의 외부 가장자리로의 거리로 방사상 외측으로 연장되며, 상기 플랜지의 거리는 상기 가스 분배기를 가로지르는 최대 폭의 단지 약 25%를 포함하는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  3. 제 1 항에 있어서,
    상기 오목면은 상기 가스 편향면의 표면적의 약 90% 이상을 포함하는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  4. 제 1 항에 있어서,
    상기 오목면은 상기 가스 분배기의 상부에 근접해서 상기 제1 방향을 따라 연장되며 상기 가스 분배기의 하부에 근접해서 상기 제2 방향으로 따라 연장되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  5. 제 1 항에 있어서,
    상기 오목면은 상기 오목면을 형성하는 수 개의 계단부를 포함하며, 상기 계단부는 상기 오목면의 제1 상부로부터 상기 오목면의 제2 하부로 연장되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  6. 제 1 항에 있어서,
    상기 배플은 상기 오목면의 주위로 볼록면을 갖춘 플랜지를 포함하며, 상기 배플은 상기 오목면과 상기 볼록면 사이에 편평한 변이면 없이 상기 제1 방향에서 상기 제2 방향으로 가스를 전환시키도록 구성되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  7. 제 1 항에 있어서,
    상기 바디는 상기 제1 방향을 따라 연장되는 상부 및 상기 제2 방향을 따라 연장되는 플랜지 부분을 포함하며, 상기 플랜지 부분은 상기 플랜지 부분의 두께 보다 작은 거리로 상기 오목면을 지나서 상기 제2 방향을 따라 연장되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  8. 제 1 항에 있어서,
    상기 바디는 상기 가스 분배기를 장착하도록 가스 공급 포트에 근접해서 위치되는 목부를 포함하며, 상기 목부는 축선을 따라 연장되며, 상기 가스 편향면은 상기 축선을 중심으로 대체로 회전적으로 대칭이며, 상기 제1 방향은 상기 축선을 따라 존재하며, 상기 제2 방향은 상기 축선에서 이격되어 있는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  9. 제 1 항에 있어서,
    상기 바디는 증착 가스를 분사하도록 구성되는 노출된 하부면을 포함하며, 상기 노출된 하부면은 중심부, 및 단차진 표면에 의해 상기 중심부로부터 분리된 오목한 둘레 부분을 구비하며, 상기 바디는 가스 유입구, 상기 단차진 표면 내에 배치되는 복수의 가스 유출구, 및 상기 복수의 가스 유출구에 상기 가스 유입구를 연결시키는 가스 통로를 더 포함하는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  10. 제 1 항에 있어서,
    단편을 포함하는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  11. 기판 처리 챔버로서,
    천장 및 측벽을 구비하는 외장과,
    기판을 지지하도록 구성되는 기판 지지부와, 그리고
    상기 기판 지지부 위로 중심에 위치되는 가스 분배기를 포함하며,
    상기 가스 분배기는 노출된 상부면을 구비하는 배플을 포함하는 바디를 포함하며, 상기 노출된 상부면은 상기 바디로부터 멀어지게 상기 외장의 측벽을 향해 가스를 외측으로 인도하도록 구성되며, 상기 노출된 상부면은 오목부를 포함하고, 상기 오목부는 상기 노출된 상부면의 영역의 약 75% 이상을 포함하며, 상기 가스 분배기는 상기 상부면 아래로 상기 기판 지지부로부터 이격되어 위치되는 노출된 하부면을 포함하며, 상기 노출된 하부면은 상기 기판 처리 챔버 안으로 증착 가스를 분사시키도록 구성되는,
    기판 처리 챔버.
  12. 제 11 항에 있어서,
    상기 하부면은 중심부, 및 단차진 표면에 의해 상기 중심부로부터 분리된 오목한 둘레 부분을 포함하며, 상기 바디는 가스 유입구, 상기 단차진 표면 내에 배치되는 복수의 가스 유출구, 및 상기 복수의 가스 유출구에 상기 가스 유입구를 연결시키는 가스 통로를 더 포함하는,
    기판 처리 챔버.
  13. 제 11 항에 있어서,
    상기 배플의 상부면을 향해 상기 가스를 인도하도록 구성되는 환형 가스 통로를 형성하기 위해 상기 바디의 일부분 둘레에 배치되는 환형 구조물을 더 포함하는,
    기판 처리 챔버.
  14. 반도체 처리 챔버 내에 사용되는 가스 분배기로서,
    가스를 전환시키기 위한 배플을 포함하는 바디를 포함하며,
    상기 배플은 제1 방향에서 제2 방향으로 가스를 편향시키기 위한 오목면, 상기 오목면의 둘레에 배치되는 볼록면, 및 상기 오목면으로부터 상기 볼록면으로의 변이를 제공하도록 상기 오목면과 상기 볼록면 사이에 배치되는 변이면을 포함하고, 상기 볼록면은 상기 배플을 가로지르는 최대 폭을 포함하며, 상기 변이면은 상기 최대 폭의 단지 약 20%에 대해 상기 최대 폭을 따라 연장되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  15. 제 14 항에 있어서,
    상기 변이면은 상기 최대 폭의 단지 약 10%에 대해 상기 최대 폭을 따라 연장되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  16. 제 14 항에 있어서,
    상기 볼록면은 상기 제1 방향을 따라 두께를 포함하며, 상기 변이면은 상기 볼록면의 두께 보다 작은 거리로 상기 최대 폭을 따라 연장되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  17. 제 14 항에 있어서,
    상기 오목면은 오목한 원환체 면을 포함하며, 상기 볼록면은 볼록한 원환체 면을 포함하고, 상기 오목한 원환체 면 및 상기 볼록한 원환체 면은 상기 오목한 원환체 면으로부터 상기 볼록한 원환체 면으로 매끄러운 변이를 제공하도록 구성되는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  18. 반도체 처리 챔버 내에 사용되는 가스 분배기로서,
    바디를 포함하며,
    상기 바디는,
    제1 방향에서 제2 방향으로 가스의 유동을 전환시키기 위한 가스 편향면을 갖춘 배플로서, 상기 가스 편향면이 오목면, 볼록면, 및 상기 볼록면에서 상기 오목면으로의 변이부를 포함하고, 상기 오목면이 상기 가스 편향면의 표면적의 약 75% 이상을 포함하며, 상기 변이부가 상기 바디를 가로지르는 최대 폭의 단지 약 10%를 포함하는, 배플,
    상기 바디의 상단에 근접하게 배치되는 목부,
    복수의 분출구를 통해 증착 가스를 분사하도록 구성되며, 중심부, 및 단차진 표면에 의해 상기 중심부로부터 분리된 오목한 둘레 부분을 구비하는 노출된 하부면,
    상기 목부로부터 상기 하부면으로 상기 바디를 따라 배치되는 축선,
    가스 유입구,
    상기 단차진 표면 내에 배치되는 복수의 가스 유출구, 및
    상기 복수의 가스 유출구에 상기 가스 유입구를 연결시키는 가스 통로를 포함하며,
    상기 배플은 상기 목부와 상기 하부면 사이에 배치되며,
    상기 가스 편향면은 상기 축선을 중심으로 대체로 회전적으로 대칭이며, 상기 제1 방향은 상기 축선을 따라 존재하며, 상기 제2 방향은 상기 축선에서 이격되어 있으며,
    상기 오목면은 상기 바디의 상기 목부와 근접해서 상기 제1 방향을 따라 연장되며 상기 하부면과 근접해서 상기 제2 방향을 따라 연장되고,
    상기 가스 분배기가 산화 알루미늄 및 질화 알루미늄 중 하나 이상으로 이루어진 단편을 포함하는,
    반도체 처리 챔버 내에 사용되는 가스 분배기.
  19. 반도체 프로세스에 사용되는 가스를 편향시키는 방법으로서,
    오목면을 포함하는 가스 분배기를 향해 제1 방향으로 가스를 인도하는 단계 와, 그리고
    실질적으로 오목면에 의해 상기 제1 방향으로부터 제2 방향으로 상기 가스를 편향시키는 단계를 포함하며,
    상기 제2 방향이 상기 제1 방향을 횡단하는,
    반도체 프로세스에 사용되는 가스를 편향시키는 방법.
  20. 제 19 항에 있어서,
    상기 가스 분배기는 가스 편향면을 포함하며, 상기 오목면은 상기 가스가 상기 오목면에 의해 상기 제1 방향으로부터 상기 제2 방향으로 실질적으로 편향되도록 상기 가스 편향면의 약 75% 이상을 포함하는,
    반도체 프로세스에 사용되는 가스를 편향시키는 방법.
KR20070122082A 2006-11-28 2007-11-28 반도체 처리 챔버용 가스 배플 및 분배기 KR101489632B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/564,150 US7740706B2 (en) 2006-11-28 2006-11-28 Gas baffle and distributor for semiconductor processing chamber
US11/564,150 2006-11-28

Publications (2)

Publication Number Publication Date
KR20080048430A true KR20080048430A (ko) 2008-06-02
KR101489632B1 KR101489632B1 (ko) 2015-02-04

Family

ID=39485103

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20070122082A KR101489632B1 (ko) 2006-11-28 2007-11-28 반도체 처리 챔버용 가스 배플 및 분배기

Country Status (6)

Country Link
US (1) US7740706B2 (ko)
JP (1) JP2008147648A (ko)
KR (1) KR101489632B1 (ko)
CN (1) CN101191200B (ko)
SG (1) SG143207A1 (ko)
TW (1) TWI390652B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210022810A (ko) * 2019-08-20 2021-03-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220010075A (ko) * 2020-05-20 2022-01-25 램 리써치 코포레이션 리모트 플라즈마 세정 (remote-plasma clean (rpc)) 지향성 플로우 디바이스

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5179658B2 (ja) * 2009-05-26 2013-04-10 シャープ株式会社 プラズマ処理装置およびそのクリーニング方法
KR101450015B1 (ko) * 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
DE112012005741T5 (de) * 2012-01-25 2014-11-06 Cummins Ip, Inc. Vorrichtung für die Ermöglichung der Reduktionsmittelzersetzung und -Mischung
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP6403577B2 (ja) * 2013-02-05 2018-10-10 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム並びにクリーニング終了判定方法
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
CN103839841A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 嵌套工具和反应腔室
KR102364760B1 (ko) * 2014-07-03 2022-02-18 어플라이드 머티어리얼스, 인코포레이티드 캐러셀 뱃치 에피택시 시스템
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102373977B1 (ko) 2015-10-05 2022-03-15 삼성전자주식회사 기판 처리 장치
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
BR112019025324A2 (pt) 2017-06-06 2020-06-23 Cummins Emission Solutions Inc. Sistemas e métodos para misturar gases de escape e um redutor em um sistema de pós-tratamento
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
US11828214B2 (en) 2020-05-08 2023-11-28 Cummins Emission Solutions Inc. Configurable aftertreatment systems including a housing
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
GB2125937B (en) 1982-08-26 1986-06-25 Metal Box Plc Dispensing volatile liquids
US5188672A (en) 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
EP1322801B1 (de) 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003100717A (ja) 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
DE10153463A1 (de) 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP4074461B2 (ja) 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4292777B2 (ja) 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6989061B2 (en) 2003-08-22 2006-01-24 Kastalon, Inc. Nozzle for use in rotational casting apparatus
CN1591800A (zh) * 2003-09-01 2005-03-09 上海宏力半导体制造有限公司 改善高压元件结构的制造方法
US20060048707A1 (en) 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
JP2007182360A (ja) * 2005-02-16 2007-07-19 Nissan Motor Co Ltd ウィスカー形成体及びこれを用いた電気化学キャパシタ
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2006310481A (ja) 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
JP2007305890A (ja) 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US20080124944A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210022810A (ko) * 2019-08-20 2021-03-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220010075A (ko) * 2020-05-20 2022-01-25 램 리써치 코포레이션 리모트 플라즈마 세정 (remote-plasma clean (rpc)) 지향성 플로우 디바이스
US11619925B2 (en) 2020-05-20 2023-04-04 Lam Research Corporation Remote-plasma clean (RPC) directional-flow device

Also Published As

Publication number Publication date
CN101191200B (zh) 2011-12-07
TW200834783A (en) 2008-08-16
JP2008147648A (ja) 2008-06-26
US7740706B2 (en) 2010-06-22
US20080121179A1 (en) 2008-05-29
TWI390652B (zh) 2013-03-21
KR101489632B1 (ko) 2015-02-04
SG143207A1 (en) 2008-06-27
CN101191200A (zh) 2008-06-04

Similar Documents

Publication Publication Date Title
KR101489632B1 (ko) 반도체 처리 챔버용 가스 배플 및 분배기
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) Dual top gas feed through distributor for high density plasma chamber
JP4964142B2 (ja) 高密度プラズマ適用のための高真空中での自己冷却ガス分配装置
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US20080121177A1 (en) Dual top gas feed through distributor for high density plasma chamber
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
US20060196603A1 (en) Gas baffle and distributor for semiconductor processing chamber
KR20020063141A (ko) 배면 오염의 저감을 위한 인 시츄 웨이퍼 열처리
CN101304630A (zh) 用于电感耦合高密度等离子体处理室的内部平衡线圈
US6436303B1 (en) Film removal employing a remote plasma source
US7205205B2 (en) Ramp temperature techniques for improved mean wafer before clean
JP2002353215A (ja) Hdpcvd処理によるトレンチ充填
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理
KR20080072593A (ko) 유도 결합된 고밀도 플라즈마 프로세싱 챔버를 위한 내부밸런스 코일

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200103

Year of fee payment: 6