CN101191200B - 用于半导体工艺腔室的烟气挡板及分布器 - Google Patents

用于半导体工艺腔室的烟气挡板及分布器 Download PDF

Info

Publication number
CN101191200B
CN101191200B CN2007101871974A CN200710187197A CN101191200B CN 101191200 B CN101191200 B CN 101191200B CN 2007101871974 A CN2007101871974 A CN 2007101871974A CN 200710187197 A CN200710187197 A CN 200710187197A CN 101191200 B CN101191200 B CN 101191200B
Authority
CN
China
Prior art keywords
gas
gas distributor
main body
baffle plate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007101871974A
Other languages
English (en)
Other versions
CN101191200A (zh
Inventor
朴书南
阿麦德·法尔汉
马哈拉·P·荷蒙特
卡马斯·桑杰
李永S
路四清
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101191200A publication Critical patent/CN101191200A/zh
Application granted granted Critical
Publication of CN101191200B publication Critical patent/CN101191200B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供了在半导体工艺腔室中分布气体的设备和方法。在本发明的一个实施方式中,在气体工艺腔室中使用的气体分布器包括主体。该主体包括具有气体偏转表面的挡板以使气流从第一方向转向第二方向。气体偏转表面包括凹入表面。该凹入表面至少包括气体偏转表面的表面区域的约75%。凹入表面充分地将气体朝向腔室壁偏转并减小来自挡板的金属原子的污染,从而可以减小时效处理时间。

Description

用于半导体工艺腔室的烟气挡板及分布器
技术领域
本发明主要涉及半导体制造领域。更确切的说,本发明涉及在集成电路的形成中用于传送气体的烟气挡板和的工艺。
背景技术
现代半导体器件制造中的一个主要步骤是在半导体衬底上形成诸如氧化硅的一种薄膜。在半导体器件的制造过程中,氧化硅广泛用作电介质层。众所周知,可通过热化学气相沉积(“CVD”)工艺或通过等离子增强化学气相沉积(“PECVD”)工艺沉积氧化硅薄膜。在传统的热CVD工艺中,在衬底的表面提供反应气体,在该衬底表面发生热诱导化学反应以产生所需的薄膜。在传统等离子体沉积工艺中,形成受控的等离子体以分解和/或加强反应种类来产生所需的薄膜。
自几十年前首次发明该器件以来,半导体器件的外形尺寸已显著减小,而且仍在继续减小。这种器件外形比例的持续减小已导致装配在半导体衬底上的集成电路中所形成的电路元件及相互连接的密度的急剧增加。在设计和制造这种密集封装的集成电路时,半导体制造商始终面临的挑战是要防止电路元件之间的假性交互作用,这正是随着外形比例不断减小所需不断创新的目标。
通常,用电介质材料填充邻近的元件之间的空间来防止不必要的交互从而在电气上和物理上隔离元件。在此,通常将这种空间称为“间隙”或“隧道”,而且用于填充这种空间的工艺在技术上普遍称为“空隙填充”工艺。因此,给定的用于完全填充这种间隙的薄膜的生产工艺的能力通常称为工艺的“空隙填充能力”,并将薄膜描述为“空隙填充层”或“空隙填充薄膜”。随着具有较小部件尺寸的电路密度的增加,这些空隙的宽度减小,从而导致它们的纵横比增加,该纵横比定义为空隙的高度与其深度的比值。使用传统CVD技术很难完全填充高纵横比的间隙,从而导致相对较差的空隙填充能力。普遍用于金属层间电介质层(“IMD”)应用、金属前介质层(“PMD”)应用、以及浅沟槽隔离(“STI”)应用等的填充空隙的电介质薄膜一族是氧化硅(有时也称为“硅玻璃”或“硅酸盐玻璃”)。
一些集成电路制造商在沉积氧化硅空隙填充层中已转向使用高密度等离子体CVD(“HDP-CVD”)系统。这种系统形成密度大于约1011离子/cm3的等离子体,其比由标准电容性耦合等离子体CVD系统提供的等离子体密度提高约两个数量级。电感耦合等离子体(“ICP”)系统是HDP-CVD系统的实例。能够通过HDP-CVD技术沉积薄膜来改善空隙填充特性的一个因素是溅射发生与金属沉积同时进行。溅射是一种通过挤压来喷射材料的机械工艺,并由HDP-CVD工艺中高离子密度的等离子体推动。因此,HDP沉积的溅射成份缓慢沉积在某种器件上,如在凸起表面的拐角处,从而有助于提高空隙填充能力。
即使使用HDP和ICP工艺,要达到预定的沉积特性仍然存在很多持续性的挑战。这些挑战包括需要管理工艺腔室中等离子体的热性质,尤其是高等功率工艺可以产生损坏腔室结构的温度,并引起污染。例如,高温与AlF3的形成和升华相关联,并腐蚀暴露在这种高温下的系统元件,以及在衬底上铝杂质的沉积。氟具有高腐蚀性,经常在腔室中作为清洁气体以从腔室壁上腐蚀地去除材料,也将其作为蚀刻气体。例如,可以将解离后的NE3从挡板的背面引入腔室中以清洁腔室,或作为腔室中使用NF3等离子体的沉积-蚀刻-沉积配料单的蚀刻成份。
另外,通常需要提供沉积工艺均匀穿过(acorss)晶圆。多个不同的因素引起非均衡性,导致器件性能的不一致,晶圆上方不同点上的沉积特性是多个不同影响因素复杂的相互作用的结果。例如,气体引入腔室的方式、用于离子化前驱物种(precursor species)的功率等级、电场到引导离子的应用等,将最终影响覆盖晶圆的沉积特性的均匀性。另外,这些影响因素表现的方式取决于腔室的物理形状和尺寸,例如,通过在腔室中提供影响离子分布的不同扩散效果。
与本发明的实施方式相关的工作表明,当前的系统和方法不理想。例如,由于半导体电路及电路元件之间的相关空隙缩短,由小颗粒引起的污染将成为问题,尤其当粒子尺寸与空隙尺寸接近的区域。而且,空隙填充层中的金属污染,例如Al,会减弱电介质空隙填充层的电绝缘特性。这种污染物会导致产量减小、材料浪费以及在一些场合中的故障电路。因此,用于浅沟槽隔离的HDP-CVD工艺薄膜的一个规范是薄膜中AL的含量。
防止晶圆污染的一种方法是在腔室中放置晶圆之前已经使用保护涂层时效处理该腔室。例如,经常使用诸如SiH4的沉积气体时效处理工艺腔室,在腔室内部沉积保护涂层,例如在腔室壁上,以防止污染并保护腔室不被清洁气体腐蚀。然而,具有保护涂层的工艺腔室的时效处理将花费时间,并且通常时效处理时间接近于120秒。因此,生产量(即在给定时间内处理的晶圆的数量)减小了,而且目前半导体工艺系统的生产量低于理想值。与本发明相关的工作表明,可通过减少处理晶圆所需的时间来提高晶圆产品的生产量,例如,通过减小时效处理时间。图1示出了现有技术的用于半导体工艺腔室中的烟气挡板的实施方式,并示出了上面所述的至少部分缺点。
因此,通常需要减小来自诸如铝原子的金属原子污染提供沉积均匀性,并提高HDP和ICP工艺的产量的改进系统和方法的技术。
发明内容
本发明的实施方式提供用于处理半导体的方法和装置。更确切的说,本发明的实施方式提供一种在工艺腔室中用于分布气体的气体分布器,例如清洁气体和/或沉积气体。
在本发明的一个实施方式中,用于半导体工艺腔室中的气体分布器包括一个主体。该主体包括具有气体偏转表面的挡板以将气流从第一方向转为第二方向。气体偏转表面包括凹入部分。凹入部分至少包含气体偏转表面的表面区域的75%。
在本发明的另一实施方式中,衬底工艺腔室包括具有顶和侧壁的箱体,以及适合支撑衬底的衬底架。气体分布器位于衬底架上方的中心处。气体分布器由包含挡板的主体组成。挡板具有上部暴露的表面,其适于向外引导气体远离主体并朝向箱体的侧壁。该上部暴露的表面包括凹入部分,并且该凹入部分至少包括挡板的上部暴露表面区域的约75%。分布器包括位于上部表面下方的下部表面,并与衬底架隔离开。下部表面适于向腔室中注入沉积气体。
在本发明的又一实施方式中,用于气体工艺腔室中的气体分布器包括主体。该主体包括能够使气体转向的挡板。该挡板包括凹入表面以使气体从第一方向偏转到第二方向。该挡板也包括置于凹入表面外围的凸起表面。过渡表面置于凹入表面和凸起表面之间以提供从凹入表面到凸起表面的过渡。凸起表面包含贯穿挡板的最大值。过渡表面延伸不超过最大宽度的约20%。
在本发明的又一实施方式中,提供了一种半导体工艺中气体的偏转方法。以第一方向将清洁气体引向气体分布器。气体分布器包括凹入表面。气体基本由凹入表面从第一方向偏转到第二方向。第二方向横穿第一方向。
在本发明的另一实施方式中,提供了一种在半导体工艺腔室中处理半导体晶圆的方法。该方法包括使用清洁气体清洁腔室。对腔室进行大约25至60秒的时效处理。晶圆设置在腔室中使用电介质层涂覆晶圆。通过HDP工艺和/或CVD工艺使用电介质层涂覆晶圆。电介质层具有不超过每平方厘米约2×1012个的金属原子。将已涂覆的晶圆从腔室中去除。
仍在本发明的又一实施方式中,提供了一种衬底处理器件。该器件包括具有顶和侧壁的箱体。衬底架适于在箱体中支撑半导体衬底。高密度等离子体沉积系统适于将高密度层离子体传送到衬底从而在衬底上形成电介质层。气体分布器位于衬底架上方的中心处。气体传输系统适于控制气体来传输到气体分布器。将处理器连接到气体传输系统和等离子沉积系统以时效处理工艺腔室并将电介质层施加到晶圆。挡板和箱体适于在该层上以约为25至60秒的时效处理时间为高等功率工艺提供每平方厘米不多于1.5×1012的金属原子。
本发明可达到很多传统技术所不及的优势。本发明的实施方式使用凹入表面偏转气体并引导气体朝向腔室侧壁以减小晶圆污染,例如减少铝的污染。同时,本发明的实施方式以较少的时效处理时间减少空隙填充层金属原子的污染,例如铝原子的污染,从而,处理半导体晶圆所需的时间总量减少。这些优势中的至少一些将由下面描述的本发明的实施方式提供。
附图说明
图1示出了先前所熟知的气体分布器的横截面图;
图2示出了示例性的ICP反应系统的简化的横截面图;
图3A示出了根据本发明实施方式的气体分布器的横截面图;
图3B示出了根据本发明实施方式的位于半导体工艺腔室中的气体分布器的横截面图;
图3C示出了根据本发明实施方式在图3A中所描述的气体分布器的俯视图;
图4示出了根据本发明实施方式的具有截短的气体偏转表面的气体分布器的横截面图;以及
图5示出了根据本发明实施方式的具有在凹入和凸起的气体偏转表面之间延伸的环状平面部分的气体分布器的横截面图;
图6示出了根据依照本发明实施方式的一种具有减小时效处理时间和减少Al污染的晶圆的处理方法的流程图;
图7示出了图1中的气体分布器与依照本发明实施方式的图3中的气体分布器的清洁端部的对比;以及
图8示出了图1中的先前所熟知的气体分布器与依照本发明实施方式的图3中的气体分布器的工艺腔室中的Al污染的对比。
具体实施方式
本发明的实施方式提供处理半导体的方法和设备。更确切的说,本发明的实施方式提供一种在工艺腔室中用于分布清洁气体和分布沉积气体的气体分布器。
图1示出了先前所熟知的气体分布器。气体分布器100具有气体偏转表面102和气体分布面104。气体偏转表面102在腔室清洁工艺中为清洁气体提供路径。将清洁气体引导至腔室侧壁,而不是位于气体分布器正下方的衬底架元件。气体分布器100在近端部分106与腔室侧壁连接。在CVD工艺中,在近端108将沉积气体提供给气体分布器100。这种沉积气流经气体分布器100,从孔110流出,并流到位于衬底支架元件上的衬底上。如图1所示,孔110设置在气体分布器面104上阶梯112处的凸起表面。
1、示例性的ICP腔室
发明者已经使用Santa Clara,Califomia(加利福尼亚州,圣克拉拉)的Applied Materials,Inc.(应用材料股份有限公司)制造的ULTIMATM系统实现了本发明的实施方式,在共同申请的美国专利Nos.5,994,662;6,170,428和6,450,117以及美国专利申请Nos.10/963030和11/075527中有所描述,这里引入这些专利和申请的全部内容作为参考。参照图2,提供了ICP反应器的接线图。图2示意性示出了在一个实施方式中的HDP-CVD系统210示例结构。系统210包括:腔室213、真空系统270、源等离子体系统280A、偏压等离子体系统280B、气体传输系统233以及远端的等离子体清洁系统250。尽管示出的远端的等离子体清洁系统在系统的下方部分,但是其他位置也是可行的,例如在美国申请No.10/963030中所描述的腔室顶部附近,在此引入其全部内容作为参考。
腔室213的上面部分包括:由陶制电介质材料制成的圆顶214,例如氧化铝或氮化铝、蓝宝石、SIC或石英。置于圆顶214的热耦合的加热板223和冷却台224。加热板223和冷却板224可以将圆顶的温度控制在大约100至200的范围内的±10℃。圆顶214界定等离子工艺区域216的上部边界。等离子工艺区域216的底部边界由衬底217的上部表面和衬底支架元件218界定。
腔室213的下面部分包括:将腔室连接到真空系统的主体元件222。安装在主体元件222上的衬底架元件218的基底部分221,并形成连续的内部表面。由机械刀片(未示出)通过插入/移除腔室213侧边的开口(未示出)使得衬底在腔室213内部和外部转移。在发动机(未示出)控制下升起并随后落下升降杆(未示出)以将衬底从上部加载位置257的机械刀片处移至下部工艺位置256处,其中衬底设置在衬底支撑元件218的衬底接收部分219上。衬底接收部分219包括在衬底工艺中将衬底固定在衬底支撑元件218的静电夹盘220。在优选实施方式中,衬底支撑元件218由氧化铝或铝陶质材料制成。
真空系统270包括其中放置双片节流阀226并粘附在闸阀227和涡轮分子泵228上的节气门225。应当注意到节气门225提供最小的气流阻碍,并可以对称抽吸。闸阀227可以将泵228与节气门225隔离,并且当节流阀226完全打开时也能通过限制排气流的能力来控制腔室压力。节流阀、闸阀以及涡轮分子泵的排列能够精确并稳定的将腔室压力控制在约1毫托至约2托之间。
气体传输系统233从几个气源提供气体,234A-234E腔室用于经由气体传输通路238处理衬底(只示出其中一部分)。本领域技术人员应该能够理解,用于气源234A-234E中的实际气源以及传输通路238到腔室213的实际连接根据腔室213内所执行的沉积和清洁工艺而变化。气体通过气体环237和/或气体分布器211引入到腔室213中。
在一个实施方式中,第一和第二气源,234A和234B,以及第一和第二气流控制器,235A′和235B′,将气体通过气体传输通路238(只有部分示出)提供给气环237中的环增压部(ring plenum)。气环237具有多个在衬底上方提供均匀的气流的源气喷嘴239(为了解释目的,只示出其中一些)。可以改变喷嘴长度和喷嘴角度以适合均匀外形以及适合于在单个腔室中用于特定工艺的气体利用效率。在优选实施方式中,气体环237具有12个由陶制氧化铝制造的源气体喷嘴。
气环237也具有多个氧化剂气体喷嘴240(只示出其中一个),其在优选实施方式中与源气体喷嘴239共面并短于源气体喷嘴239,并在一个实施方式中从主体增压部接收气体。在一些实施方式中,希望在将气体注入腔室213之前源气体和氧化剂气体不混合。在另一实施方式中,在注入腔室213前通过在主体增压部(body plenum)和气环增压部(gas ring plenum)之间的孔(未示出)将氧化剂气体和源气体混合。在一个实施方式中,第三、第四和第五气源,234C、234D和234D′以及第三和第四气流控制器235C和235D′通过气体传输通路238向主体增压部提供气体。诸如243B(其他阀未示出)的附加阀关闭来自气流控制器的气体使其无法进入腔室。
在使用易燃、有毒或腐蚀性的气体的实施方式中,希望除去在沉积后仍留在气体传输管道中的气体。例如,使用诸如气阀243B的3向阀来将腔室213从传输通路238A隔离,并将传输通路238A通向真空管线(vacuum foreline)244。如图2所示,诸如243A和243C的其他类似的气阀可并入其他气体传输管道。
再参考图2,腔室213也具有气体分布器211和顶部通风口246。气体分布器211和顶部通风口246实现了顶部气流和侧面气流的独立控制,从而提高了薄膜均匀性并实现了薄膜沉积和掺杂参数的精调。顶部通风口246是围绕气体分布器211的环形开口。根据本发明的实施方式的气体分布器211在阶梯中含有多个孔用于改进气体分布器。在一种实施方式中,第一气源234A提供源气体喷嘴239和气体分布器211。源喷嘴MFC235A′控制传输到源气喷嘴239的气体总量,而顶部喷嘴MFC 235A控制传输至气体分布器211的气体总量。类似地,可以使用两个MFCs 235B和235B′控制从诸如气源234B的单个氧气源到顶部通风口246和氧化剂气体喷嘴240的氧气流。在气体流入腔室213之前,提供给气体分布器211和顶部通风口246的气体是保持分开的,或者气体流入腔室213之前可以在顶部增压部248中混合。相同气体的独立气源可用于腔室的不同部分。
系统控制器260控制系统210的运行。在优选实施方式中,控制器260包括由可触媒体组成的存储器262,诸如硬盘驱动、软盘驱动(未示出)以及与处理器261连接的卡板柜(未示出)。卡板柜包括单板计算机(SBC)(未示出)、模拟和数字输入/输出板(未示出)、接口板(未示出)以及步进电机控制板(未示出)。系统控制器符合总线模块欧州标准(“VME”),该标准定义了板、插件箱(cardcage)以及连接器的维度和类型。VME标准也定义了总线结构,即是具有16位数据总线和24位地址总线。系统控制器260在存储在诸如硬盘驱动的可触媒体上的计算机程序,或通过诸如存储在移动硬盘上的其他计算机程序的控制下运行。计算机程序指示,例如时间、气体的混合、RF功率级别以及其他特定工艺的参数。用户和系统控制器之间经由诸如阴极射线管(“CRT”)和光笔的监测器作为接口。
系统控制器260控制腔体的时效处理时间及用于时效工艺腔室的气体、清洁时间和用于清洁腔室的气体以及HDP CVD工艺中的等离子体的应用。为了到达这种控制,系统控制器260连接到系统210的多个组件上。例如,系统控制器260连接到真空系统270、源等离子体系统280A、偏置等离子体系统280B、气体传输系统233以及远端等离子体清洁系统250。系统控制器260通过线路263连接到真空系统270。系统控制器260通过线路264A连接到源等离子体系统280,并通过线路264B连接到偏置等离子体系统280B。系统控制器260通过线路265连接到气体传输系统233。系统控制器260通过线路266连接到远端等离子体清洁系统250。线路263、264A、264B、265以及266分别将控制信号从系统控制器260传输至真空系统270、源等离子体系统280A、偏置等离子体系统280B、气体传输系统233以及远端等离子体清洁系统250。应该理解系统控制器260可包括几个分布式处理器以控制系统210的组件。
2、挡板特性
在此描述的本发明的实施方式使用挡板的凹入表面在HDP-CVD工艺中使得清洁气体充分偏转并引导清洁气体基本水平地朝向腔室侧壁。通过使用凹入表面使得清洁气体偏转并引导清洁气体朝向具有凹入表面的腔室的侧壁,从而热量很容易地从挡板的气体偏转表面传导。热传导与凹入气体偏转表面相关联的挡板的形状相关。凹入气体偏转表面的使用使得清洁气体充分偏转并引导清洁气体基本水平地朝向腔室侧壁,同时在不使用充分延长的凸缘也允许清洁气体偏转和引导。
认为至少有两个机理促成了Al晶圆的污染。一个机理是是氟化铝(AlF3)的形成,挡板通常是由单片氮化铝(AlN)或氧化铝(Al2O3)制成的,而这些材料中的任一种都可以与氟发生反应形成氟化铝。在挡板上AlF3的形成与挡板在清洁/蚀刻过程中的温度有关。另一个促成晶圆污染的机理是AlF3的升华。这两种机理的结果,通过化学反应在挡板上形成AlF3并随后通过升华将其去除。从而使得更多的AIN或Al2O3与氟发生反应。在清洁蚀刻步骤期间,较低温度的挡板将产生较少的AlF3的升华。由于升华的AIF3沉积在半导体晶圆衬底上,从而较少的AIF3升华将在具有空隙填充的薄膜上产生较少的Al。
偏转和指引清洁气体朝向具有凹入表面的腔室壁可减少处理后的晶圆的空隙填充层上的Al污染。通常,来自金属原子的晶圆污染包括沉积在晶圆中的主要种类的金属原子。晶圆中的这些主要种类的金属原子对应于气体分布器中的主要种类的金属原子。例如来自AlN气体分布器中的Al金属原子。通过减少挡板上的升华和化学反应,从而减少在沉积工艺中从挡板上移除的金属离子的总数,并减少沉积在晶圆上的金属原子的个数。因此,由于挡板上较薄的防护涂层可产生较低的Al污染,从而可缩短时效反应时间。因此,增加半导体晶圆产量同时也产生较低的Al晶圆污染。
本发明的实施方式可用于低等、中等和高等功率HDP/CVD工艺。高等功率工艺通常具有约15至18kW范围的功率。中等功率工艺通常具有约8至12kW范围的功率。低等功率工艺通常在约8kW以下。本发明的一些实施方式可用于处里300mm的晶圆,尽管本发明的实施方式也可以处理诸如200mm晶圆和450mm晶圆的其他晶圆尺寸。
图3A为根据本发明实施方式的气体分布器的横截面图。该图仅为示例性的,在此不应将其视为对权利要求的范围的限制。本领域的一般技术人员应该理解,其他变型、改进和替换。如所示,本发明提供了一种用于将气体引入半导体工艺腔室的气体分布器300。气体分布器300由氮化铝(AlN)制成,并可由诸如氧化铝(矾土、Al2O3)、碳化硅(SiC)、锆、石英、蓝宝石等任何合适的材料制成。在这种实施方式中,气体分布器300是单件。
气体分布器300具有气体偏转表面302和气体分布表面304。气体偏转表面302为腔室清洁工艺期间清洁气体提供路径。将清洁气体引导至腔室壁,而不是引导到位于气体分布器正下面的衬底支撑元件。将气体分布器300在邻近部分306处连接到腔室壁。在CVD工艺期间,在近端308处将沉积气体提供给气体分布器300。边缘338延伸成环状包围近端308。这种沉积气体流经气体分布器300,从孔310流出,并流至在衬底架元件上的衬底部分。
将孔310设置在为升起表面的阶梯312上的气体分布器面304上。阶梯312可在气体分布器面304上形成具有预定直径的椭圆平台,或者更优选地,形成圆形平台。该直径可在约.01英寸至约3.00英寸范围内。阶梯312具有约.60英寸至约.75英寸范围内的垂直高度,并且具有约90度到约15度范围内的坡度。阶梯312改善气体分布器300的气体分布。尤其是,由于阶梯312,沉积气体分散衬底支撑元件的外围的更远处。阶梯312的坡度的减小将进一步向外分散气体。虽然气体分布器300示出了一种阶梯和孔的实施方式,其它实施方式也是可行的,例如,在2005年3月7日提交的美国申请序列号No.11/075527种的描述,在此引用其全部内容作为参考。
在特定实施方式中,气体分布器300可具有4、6、8或更多的孔310。这些孔310沿阶梯312的圆周均匀分布,或者可选地,集中到其中的一个特定部分。孔310的放置位置和数量可根据具体应用进行调节,以达到沉积气体均匀分布到衬底。同样,孔310的直径也是可调节的。直径可在约.005英寸至约0.250英寸范围内。在特定实施方式中,孔310的直径为0.060英寸。
形成挡板320以偏转清洁气体。挡板320包括气体偏转表面302。气体偏转表面302包括凹入表面322以及部分凸起表面346。气体偏转表面302和挡板320关于轴314对称旋转。形成凹入表面322以符合半径324。凹入表面322包括上面部分328和下面部分329,以使凹入表面322从上部边界322a延伸到下部边界322b。半径324的弧326从上部边界322a延伸到下部边界322b。气体偏转表面302在下部边界322b处从凹入表面322过渡为凸起表面346。在突缘330中形成凸起表面346。
形成凸起表面346以匹配半径348的弧线路径。排列凸起表面346和凹入表面322以使气体偏转表面302在具有连续表面的下部边界322b从凹入表面322过渡到凸起表面346。作为下部边界322b,凹入表面322与凸起表面346的交界处具有小角度,从而随着下部边界322b具有平滑连续的表面过渡。在可选择的实施方式中,这种平滑的过渡是通过将凹入和凸起表面的坡度在下部边缘322处设置为零实现的,从而由于凸起表面的角度与凹入表面在过渡处相匹配,从凹入表面到凸起表面的过渡是完全平滑的。在又一实施方式中,凸起表面与凹入表面的交界处为存在实际的角度,以在从凹入表面到凸起表面的转过渡处提供具有微小弯曲的连续过渡表面。
气体分布器300具有贯穿气体分布器的最大宽度340。最大宽度340与贯穿凸起表面346的直径相对应。最大宽度346可在约1.4英寸至2.0英寸的范围内,通常为约1.7英寸。
参照气体分布器的下部表面,在气体分布器面304与凸起表面346的过渡处形成的平滑过渡,与从凹入表面322到凸起表面346的平滑过渡相类似。凸缘330具有厚度342。厚度342是半径348的两倍。
图3B为根据本发明的实施方式的位于半导体工艺腔室中的气体分布器的横截面图。将气体分布器300设计为设置在半导体气体工艺腔室中。当位于腔室中时,气体偏转表面302对应于气体分布器的上部暴露表面,气体分布面304对应于气体分布器的下部暴露表面。气体分布器300由环状结构360支撑。环状结构360具有形成于其中的用于传送沉积气体的沟道362。边缘338接合环形结构360,适于形成具有环形结构360的密封件。螺纹336与环形结构360上的螺纹相匹配。侧翼334位于气体分布器上,邻近凹入气体偏转表面的上方部分328。侧翼334与在环形结构360中形成的对应表面相匹配。环形结构360具有与凹入气体分布器表面的上面部分328相匹配的外部表面364,以为从环形支撑结构到气体分布器表面提供平滑的表面过渡。顶部通风口246包括进入到腔室的环状开口368。
挡板起偏转清洁气体从第一方向到第二方向流动的作用,其中第二方向横向于第一方向。顶部通风口246沿气体偏转表面的上面部分328以第一方向350向下引导清洁气体。凹入表面322沿凹入表面322的下面部分329以第二方向352水平地指引清洁气体,并且第二方向352横向于第一方向350。同时,凹入表面322还以第三方向354水平地指引清洁气体,并且第三方向354横向于第一方向350。凹入部分322由气体偏转表面302的暴露表面区域的约90%组成。气体偏转表面302沿第二方向352延伸,其延伸的距离小于凸缘330的厚度342。
图3C示出了根据本发明实施方式的图3A中的气体分布器的俯视图。如图3C所示,图3A所示的多数结构为环状,并旋转对称。气体分布器300的外部边缘332为圆形。凸缘330为环形。下部边界322b为圆形。气体偏转表面的凹入表面322为环形。凹入表面322的上部边界322a为圆形。侧翼334为环形。螺线336为环形。边缘338为环形。作为参考示出了轴318。
参照图3A和3C,应该理解,凹入表面322包含第一环形圆纹曲面的一部分,并且凸起表面346包含第二环形圆纹曲面的一部分。通过半径324绕轴314旋转定义凹入表面322来定义第一环形圆纹曲面。通过半径348绕轴318旋转定义凸起表面346来定义第二环形圆纹曲面。因此,下部边界322b,是由能够从第一环形圆纹曲面表面到第二环形圆纹曲面表面的平滑过渡的两个环形圆纹曲面的表面的交界处定义的边界。在另一可选的实施方式中,或者是凹入表面或者凸起表面,或者即有凹入表面又有凸起表面,都是由非环形圆纹曲面形状定义的,比如由椭圆曲线的表面、立方条(cubic spline)等。同时,可使用一些小阶梯的阶梯表面来定义凹入表面和凸起表面。
图4为根据本发明的实施方式的具有截短的气体偏转表面的气体分布器400的横截面图。挡板420具有在其上形成的气体偏转表面402。气体偏转表面402包括上述的包含上面部分328和下面部分329的凹入表面322。气体偏转表面402终止于圆环边缘482。凸缘430包括圆柱状外部表面432。贯穿挡板的最大宽度440与贯穿圆柱状外部表面432的直径对应。环状边缘482将气体偏转表面与圆柱状外部表面432连接在一起。将环状边缘482成圆形以适合弯曲的短半径,并且环状边缘482包含一个凸起的环形圆纹曲面的表面。环状边缘484将气体分布面304与圆柱状外部表面432连接在一起。凸缘430的厚度442与从下面部分329到环状边缘484附近的气体分布面304穿过凸缘430的距离对应。
图5为依照本发明实施方式的具有从凹入和凸起的气体偏转表面之间延伸的环状平面部分的气体分布器500的横截面图。凹入表面和凸起表面为上述的环形圆纹曲面的表面。气体分布器500包括具有气体偏转表面502形成于其上的挡板520。凹入部分522包含约75%的气体偏转表面502的暴露表面区域。气体分布器500包括适用于使用上述的孔310和阶梯312分布沉积气体的气体分布器面504。气体偏转表面502包括入陷表面322、环状平面过渡部分564以及凸起表面546的上面部分。凹入表面322在上部边界322a和下部边界322b之间延伸,并且包括上述的上面部分328和下面部分329。环状平面过渡部分564为从凹入表面322到凸起表面546提供平滑过渡。
凸起表面546包括半径548及气体分布器的其他属性。凸起表面546在上部边界546a和下部边界546b之间延伸。凸起表面546包括外部边缘532。贯穿气体分布器的最大宽度540与贯穿由外部边缘532定义的分布器的直径相对应。平面过渡部分564在凹入表面322的下部边界322b和凸起表面546上部边界546a之间延伸。下部边界546b位于凸起表面546与气体分布面504之间。凸缘530包括环状平面过渡部分564和凸起表面546。凸缘厚度542是半径548的两倍。
环状平面过渡部分564的维度与气体分布器的其他维度相关联。例如,如图5所示,环状平面过渡部分564沿最大宽度540的距离不大于最大宽度的10%。在一些实施方式中,环状平面过渡部分的这段距离不大于最大宽度的20%。在另一些实施方式中,环状平面过渡部分的这段距离不大于最大宽度的5%。在一些实施方式中,无平面环状过渡部分,如上面的图3A和3C所示,凹入部分沿凸起部分与凹入部分之间的边界直接过渡到凸起部分。
图6为说明依照本发明实施方式,具有降低的时效处理时间和降低的Al污染的晶圆的处理方法600的流程图。清洁腔室步骤610清洁具有上述气体挡板的腔室,如图3A至3C中所示。清洁腔室所需的时间将取决于所用的半导体工艺的特性、时效处理时间、清洁气体和/或所用的气体以及温度。典型的清洁时间在约2分钟至7分钟范围内,通常是3至5分钟之间,例如3至4分钟。时效处理腔室步骤620使用沉积气体时效处理腔室以提供上述的防护涂层,例如用于沉积防护SiO2层的硅烷。时效处理腔室的时间总量小于60秒,例如从25至60秒,通常是在从约25秒至45秒,最优为从约25至35秒。插入晶圆步骤630将半导体晶圆插入腔室中,以使晶圆位于上面所述的位置。将HDP-CVD空隙填充层施加到晶圆的步骤640使用上述的HDP-CVD工艺将晶圆施加到空隙填充层。HDP-CVD工艺可以是低等功率工艺、中等功率工艺和高等功率工艺中的任意一种。典型情况下,空隙填充工艺大约为60秒。空隙填充工艺中所使用的空隙填充层的厚度通常从约40000A(400nm)至8000 A(800nm),例如从4000 A(400nm)至6000 A(400nm),典型为约5000 A(500nm)。尽管处理过的晶圆的空隙填充层中的铝污染的实际数量取决于实际选择的参数,通常Al原子的数量小于每平方厘米2×1012个原子,例如每平方厘米约0.3×1012至2.0×1012个原子,并可为每平方厘米约0.3×1012至1.5×1012个原子,而且,最优为每平方厘米约0.3×1012至1.0×1012个原子。去除晶圆步骤650将晶圆从腔室中去除,从而晶圆可以施加到腔室外面的其他工艺步骤。在去除晶圆步骤650之后,清洁腔室,并重复步骤610至650来处理额外的晶圆。
可以选择腔室清洁时间、有效处理时间以及处理功率的任何组合,以提供预期的金属原子污染等级。随着时效处理时间的增加,金属原子污染降低,而且选择时效处理时间以提供低于预期最大容许量的金属离子污染。为了优化晶圆生产量,将选择最短时效处理时间以提供低于预期数量的金属原子污染。例如,30秒的时效处理时间可提供每平方厘米1.2×1012个Al原子的金属离子污染,低于每平方厘米1.5×1012个AL原子的最大容许数量。使用30秒的时效处理时间、清洁腔室的总时间,将晶圆放置于腔室中、在腔室中处理晶圆并从腔室中去除晶圆的全部时间符合至少大约每小时8个晶圆的生产量。
应该理解,图6所示的具体步骤提供了根据本发明的实施方式的处理晶圆的详细方法。根据替代的实施方式也可按照其他顺序执行这些步骤。例如,本发明的替代实施方式可以按照不同的顺序执行上述步骤。此外,图6中示出的单个步骤可以包括多重子步骤,将以适合该单个步骤的多种顺序执行。此外,也可以依据特定应用添加或去除额外的步骤。本领域的一般技术人员可以理解多种变型、修改和替代。
3、实施例结果
对本发明的实施方式的初步测试表明,可以在降低Al污染和提高在腔室中处理的晶圆的生产量方面取得显著进步。本发明的实施方式的测试通常包括由模具和NF3清洁气体形成的单片AlN(氮化铝)气体分布器,尽管也可以使用其他气体分布器材料例如氧化铝以及诸如F2的其他清洗气体。作为示例示出,应用高等功率工艺测试实施方式,可以将衬底上的Al污染从使用如图1所示的现有挡板以120s的时效处理时间情况下的每平方厘米1.3×1013个原子降至使用图3所示的挡板以30s的时效处理时间条件下的每平方厘米1.2×1012个原子。测试的涂层的厚度为大约8000A。从而,当使用高等功率工艺中将时效处理时间从120s降至30s时,可以将Al污染降低约一个数量级。由于在晶圆处理过程中,晶圆经过几次时效处理,从而腔室时效处理时间从120s降至30s将每小时处理的晶圆数从7个增加至8个。
图7示出了图1中的现有气体分布器和依照本发明实施方式的图3中的气体分布器的清洁端部的对比。每个经测试的实施方式的时效处理时间是相同的。图7示出了任意单元中的清洁信号与时间的对比关系。分别示出现有分布器和已测试实施方式的清洁信号710和712。使用傅立叶转换红外线光谱(FTIR)测量清洁信号。两种分布器的清洁信号几乎相同,并且示出无本质差别。从而,本发明的实施方式可提供与已测试的现有挡板几乎相同的清洁特性,从而,已测试的实施方式与应用现有挡板的系统兼容,而且,已测试的实施方式可作为应用现有挡板的系统的升级。
图8示出了图1中的现有气体分布器和依照本发明的实施方式的图3中的气体分布器工艺腔室中的Al污染的对比。用于两种挡板的工艺的时效处理时间都设置为120s以评估挡板对Al污染的影响。工艺A为使用约10kW功率以及含有NF3、He、SiH4以及O2气体的工艺气体的中等功率工艺。由于工艺A为使用NF3和中等功率,认为与氟相关的化学反应是引起了腔室的污染的主要原因。中等功率工艺通常使用约8至12kW之间的功率。工艺B为使用约18kW的功率及包括NF3、H2、SiH4以及O2气体的工艺气体的高等功率工艺。由于工艺B为高等功率工艺、热反应,例如升华认为是引起腔室污染的主要原因。参照工艺A,已测试的现有挡板的Al污染为每平方厘米约200×1010个Al原子,同时已测试的实施方式的Al污染为每平方厘米约70×1010个Al原子。施加到工艺A中的涂层的厚度约为4000A。参照工艺B,现有挡板的Al污染为每平方厘米约1000×1010个Al原子,同时,已测试的实施方式的Al污染为每平方厘米约30×1010个Al原子。施加到工艺B的涂层的厚度约为8000A。从而,使用中等功率工艺已测试的实施方式提供约3个改进要素,使用高等功率工艺提供约30个改进要素。
上述设备和方法的排列仅本发明原理示意性应用,而且在不背离权利要求限定的本发明的精神和范围的情况下,可设计其它实施方式和改进。因此,不应参照上面所述来确定本发明的范围,取而代之,应参照附属权利要求并连同他们的全部等效范围来确定本发明的范围。

Claims (18)

1.一种用于半导体工艺腔室中的气体分布器,所述气体分布器包含:
主体,其包括:
具有气体偏转表面的挡板,以将气流从第一方向转向第二方向,所述气体偏转表面包括凹入表面,所述凹入表面至少包括所述气体偏转表面的表面区域的75%。
2.根据权利要求1所述的气体分布器,其特征在于,所述凹入表面至少包括所述气体偏转表面的表面区域的90%。
3.根据权利要求1所述的气体分布器,其特征在于,所述凹入表面沿所述气体分布器的上层部分附近的所述第一方向延伸,以及沿所述气体分布器的下层部分附近的所述第二方向延伸。
4.根据权利要求1所述的气体分布器,其特征在于,所述凹入表面包含弧线路径,所述弧线路径从所述凹入表面的上部边界延伸至所述凹入表面的下部边界。
5.根据权利要求1所述的气体分布器,其特征在于,所述挡板包括在所述凹入表面外围具有凸起表面的凸缘,并且,其中所述挡板适于在所述凹入表面和所述凸起表面之间不具有平面过渡表面的情况下将所述气体从所述第一方向转向所述第二方向。
6.根据权利要求1所述的气体分布器,其特征在于,所述主体包括沿所述第一方向延伸的上层部分和沿第二方向延伸凸缘部分,其中所述凸缘部分沿所述第二方向延伸并以小于所述凸缘部分厚度的距离远离所述凹入表面。
7.根据权利要求1所述的气体分布器,其特征在于,所述主体包括位于气体供给端口附近的颈状部分以安装所述气体分布器,其中所述颈状部分沿轴延伸,所述气体偏转表面在所述轴附近对称旋转,其中所述第一方向沿所述轴,而所述第二方向远离所述轴。
8.根据权利要求1所述的气体分布器,其特征在于,所述主体包括适于分配沉积气体的下层暴露表面,所述下层暴露表面具有中心部分和通过阶梯表面与所述中心部分分离的凹进的外围部分,所述主体还包括:气体入口、放置在所述阶梯表面中的多个气体出口以及将所述入口连接到所述多个气体出口的气体通道。
9.根据权利要求1所述的气体分布器,其特征在于,所述气体分布器是单件结构的。
10.一种衬底工艺腔室,其特征在于,所述腔室包括:
具有顶和侧壁的箱体;
适于支撑衬底的衬底架;
位于所述衬底架上方中心处的气体分布器,所述气体分布器包括主体,该主体包括具有上层暴露表面的挡板,该挡板适于向外引导气体远离所述主体,并朝向所述箱体侧壁,所述上层暴露表面包括凹入部分,所述凹入部分至少包含所述上层暴露表面区域的75%,所述气体分布器包括在所述上层暴露表面下方并与所述衬底架隔开的下层暴露表面,所述下层暴露表面适于将沉积气体注入所述腔室中。
11.根据权利要求10所述的衬底工艺腔室,其特征在于,所述下层暴露表面包括中心部分和由阶梯表面从所述中心部分分离的凹进的外围部分,所述主体还包括气体入口,放置在所述阶梯表面中的多个气体出口,以及将所述入口连接到所述多个气体出口的气体通道。
12.根据权利要求10所述的衬底工艺腔室,其特征在于,还包括放置在所述主体的一部分的周围的环形结构以定义适于引导气体朝向所述挡板上层暴露表面的环形气体通路。
13.一种用于气体工艺腔室中的气体分布器,其特征在于,所述气体分布器包括:
主体,其包括:
转向气体的挡板,所述挡板包括具有凹入表面的气体偏转表面以将所述气体从第一方向偏转至第二方向,放置在所述凹入表面外围的凸起表面以及放置在所述凹入表面和所述凸起表面之间以提供从所述凹入表面至所述凸起表面的过渡表面,其中所述凸起表面包括贯穿所述挡板的最大宽度,所述过渡表面沿最大宽度延伸至不超过所述最大宽度的20%,而且所述凹入表面至少包括所述气体偏转表面的表面区域的75%。
14.根据权利要求13所述的气体分布器,其特征在于,所述过渡表面沿所述最大宽度延伸至不大于所述最大宽度的10%。
15.根据权利要求13所述的气体分布器,其特征在于,所述凸起表面具有沿所述第一方向的厚度,而且所述过渡表面沿所述最大宽度延伸的距离小于所述凸起表面的厚度。
16.根据权利要求13所述的气体分布器,其特征在于,所述凹入表面包括凹入环表面,而且所述凸起表面包括凸起环表面,其中所述凸起环表面和凹入环表面适于从所述凹入环表面至所述凸起环表面提供平滑过渡。
17.一种用于气体工艺腔室中的气体分布器,其特征在于,所述气体分布器包括:
主体,其包括:
使用气体偏转表面将气流从第一方向转向第二方向的挡板,所述气体偏转表面包括凹入表面、凸起表面以及从所述凸起表面至所述凹入表面的过渡,所述凹入表面包括所述气体偏转表面的表面区域的至少75%,所述过渡沿贯穿主体的最大宽度的距离不多于所述最大宽度的10%;
位于所述主体的上部末端附近的颈状部分;
适于通过多个喷嘴分配沉积气体的下部暴露表面,所述下部暴露表面具有中心部分和由阶梯表面从所述中心部分分离的下凹外围部分,所述挡板位于所述颈状部分和所述下部暴露表面之间;
轴,所述轴沿所述主体从所述颈状部分到所述下部暴露表面放置,所述气体偏转表面在所述轴附近对称旋转,所述第一方向沿所述轴方向,而所述第二方向远离所述轴;
其中,所述凹入表面沿所述主体的颈状部分附近的第一方向以及沿所述下部暴露表面附近的第二方向延伸;
气体入口;
设置在所述阶梯表面中的多个气体出口;
将所述入口连接到所述多个气体出口的气体通道;以及
其中所述气体分布器包括氧化铝和氮化铝中的至少一个单件。
18.一种在半导体工艺中偏转气体的方法,其特征在于,所述方法包括:
以第一方向引导气体朝向气体分布器,所述气体分布器包括具有气体偏转表面的挡板,所述气体偏转表面凹入表面,所述凹入表面至少包括所述气体偏转表面的表面区域的75%;
使用所述凹入表面将气体从所述第一方向偏转至第二方向;以及
其中,所述第二方向横向于所述第一方向。
CN2007101871974A 2006-11-28 2007-11-28 用于半导体工艺腔室的烟气挡板及分布器 Expired - Fee Related CN101191200B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/564,150 2006-11-28
US11/564,150 US7740706B2 (en) 2006-11-28 2006-11-28 Gas baffle and distributor for semiconductor processing chamber

Publications (2)

Publication Number Publication Date
CN101191200A CN101191200A (zh) 2008-06-04
CN101191200B true CN101191200B (zh) 2011-12-07

Family

ID=39485103

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101871974A Expired - Fee Related CN101191200B (zh) 2006-11-28 2007-11-28 用于半导体工艺腔室的烟气挡板及分布器

Country Status (6)

Country Link
US (1) US7740706B2 (zh)
JP (1) JP2008147648A (zh)
KR (1) KR101489632B1 (zh)
CN (1) CN101191200B (zh)
SG (1) SG143207A1 (zh)
TW (1) TWI390652B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
WO2010137397A1 (ja) * 2009-05-26 2010-12-02 シャープ株式会社 プラズマ処理装置およびそのクリーニング方法
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
WO2013112146A1 (en) * 2012-01-25 2013-08-01 Cummins Ip, Inc. Apparatus for facilitating reductant decomposition and mixing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10724137B2 (en) * 2013-02-05 2020-07-28 Kokusai Eletric Corporation Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
CN103839841A (zh) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 嵌套工具和反应腔室
SG11201610307PA (en) * 2014-07-03 2017-01-27 Applied Materials Inc Carousel batch epitaxy system
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102373977B1 (ko) 2015-10-05 2022-03-15 삼성전자주식회사 기판 처리 장치
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
GB2577212B (en) 2017-06-06 2022-02-16 Cummins Emission Solutions Inc Systems and methods for mixing exhaust gases and reductant in an aftertreatment system
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
KR102246678B1 (ko) * 2019-08-20 2021-05-04 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
GB2609163B (en) 2020-05-08 2023-08-23 Cummins Emission Solutions Inc Configurable aftertreatment systems including a housing
JP2023526591A (ja) * 2020-05-20 2023-06-22 ラム リサーチ コーポレーション リモートプラズマ洗浄(rpc)方向流装置
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition
TW202230442A (zh) * 2020-10-09 2022-08-01 美商蘭姆研究公司 無面板噴淋頭

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US20060196420A1 (en) * 2005-03-02 2006-09-07 Andrey Ushakov High density plasma chemical vapor deposition apparatus
US20060196603A1 (en) * 2005-03-07 2006-09-07 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) * 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
GB2125937B (en) * 1982-08-26 1986-06-25 Metal Box Plc Dispensing volatile liquids
US5188672A (en) * 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
EP1322801B1 (de) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003100717A (ja) * 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4292777B2 (ja) * 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7141138B2 (en) * 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6989061B2 (en) * 2003-08-22 2006-01-24 Kastalon, Inc. Nozzle for use in rotational casting apparatus
CN1591800A (zh) * 2003-09-01 2005-03-09 上海宏力半导体制造有限公司 改善高压元件结构的制造方法
US20060048707A1 (en) 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
JP2007182360A (ja) * 2005-02-16 2007-07-19 Nissan Motor Co Ltd ウィスカー形成体及びこれを用いた電気化学キャパシタ
JP2006310481A (ja) 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
JP2007305890A (ja) 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) * 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US20060196420A1 (en) * 2005-03-02 2006-09-07 Andrey Ushakov High density plasma chemical vapor deposition apparatus
US20060196603A1 (en) * 2005-03-07 2006-09-07 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2006-310481A 2006.11.09

Also Published As

Publication number Publication date
SG143207A1 (en) 2008-06-27
KR101489632B1 (ko) 2015-02-04
CN101191200A (zh) 2008-06-04
US7740706B2 (en) 2010-06-22
TWI390652B (zh) 2013-03-21
US20080121179A1 (en) 2008-05-29
TW200834783A (en) 2008-08-16
KR20080048430A (ko) 2008-06-02
JP2008147648A (ja) 2008-06-26

Similar Documents

Publication Publication Date Title
CN101191200B (zh) 用于半导体工艺腔室的烟气挡板及分布器
CN101304630B (zh) 用于电感耦合高密度等离子体处理室的内部平衡线圈
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US7628897B2 (en) Reactive ion etching for semiconductor device feature topography modification
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
CN100483646C (zh) 用于改进间隙填充应用的高产能hdp-cvd处理
KR101115750B1 (ko) 실리콘 이산화물의 막 품질을 강화시키는 신규한 증착-플라즈마 경화 사이클 프로세스
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
US6503843B1 (en) Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
KR101289021B1 (ko) 반도체 구조물의 형성 방법
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
US20050136684A1 (en) Gap-fill techniques
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
US8476142B2 (en) Preferential dielectric gapfill
KR100297421B1 (ko) 이산화규소막의불소화에의한응력제어방법및기판처리시스템
US20120015113A1 (en) Methods for forming low stress dielectric films
US7674684B2 (en) Deposition methods for releasing stress buildup
CN100501940C (zh) 半导体工艺中降低微污染的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111207

Termination date: 20131128