TWI512136B - 伴隨持續的電漿之pecvd多重步驟處理方法 - Google Patents

伴隨持續的電漿之pecvd多重步驟處理方法 Download PDF

Info

Publication number
TWI512136B
TWI512136B TW099145295A TW99145295A TWI512136B TW I512136 B TWI512136 B TW I512136B TW 099145295 A TW099145295 A TW 099145295A TW 99145295 A TW99145295 A TW 99145295A TW I512136 B TWI512136 B TW I512136B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
plasma
processing chamber
chamber
Prior art date
Application number
TW099145295A
Other languages
English (en)
Other versions
TW201139723A (en
Inventor
Martin Jay Seamons
Sum-Yee Betty Tang
Michael H Lin
Patrick Reilly
Sudha Rathi
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201139723A publication Critical patent/TW201139723A/zh
Application granted granted Critical
Publication of TWI512136B publication Critical patent/TWI512136B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

伴隨持續的電漿之PECVD多重步驟處理方法
本發明之具體實施例大體上關於積體電路的製造。特別地,本發明之具體實施例關於在處理腔室內的多層沉積期間減少缺陷的方法。
在積體電路的製造中,化學氣相沉積製程通常用於多種材料層的沉積或蝕刻。習用的熱CVD製程供應反應性化合物至基板表面,其中熱誘導的化學反應發生於基板表面以產生期望的層。電漿增進之化學氣相沉積(PECVD)製程應用了耦合沉積腔室的功率源(例如,射頻(RF)功率或微波功率),以增加反應性化合物的解離。因此,相較於那些需要較高基板溫度的相仿熱製程而言,PECVD製程可在較低基板溫度(例如,約75℃至650℃)快速生長具良好品質的材料,而成為多產且有成本效益的方法。這對於熱預算(Thermal Budget)需求較為緊迫的製程而言有其優點。舉例而言,在諸如微處理器、動態隨機存取記憶體(DRAM)、NAND快閃記憶體及NOR快閃記憶體等以矽晶圓為基礎的微電子產品的製造中,因上述理由而對薄膜沉積使用PECVD製程是很普遍的。
現代微影技術通常涉及如步進器等已知裝備的使用,其用以遮蓋並暴露光阻層。步進器通常使用單色的(單一波長)輻射能(例如 單色光),使它們能產生製造精細幾何裝置所需之細部圖案。然而,當處理基板時,基板的上表面之表面形貌逐漸變得較不平整。如此不平坦的表面形貌可造成輻射能的反射及折射,造成遮罩的不透光部份下方的部分光阻劑曝光。結果,此不平坦的表面形貌可改變由光阻層所轉移的圖案,從而改變所製造結構的臨界尺寸。
有助於達成必要尺寸精確度的方式之一為使用介電抗反射塗層(dielectric antireflective coating;DARC),其通常為氮氧化矽(SiOx Ny )、氧化矽(SiOx)或氮化矽(SiNx )之薄層。已發現DARC具有理想的微影性質。DARC的形成使諸如膜的折射率(n)、吸收係數(k)以及厚度(t)等之光學及物理膜參數之可靠控制成為必要。通常,可選擇DARC的光學特徵,以在微影製程期間最小化發生於層間介面處的反射之影響。DARC的吸收係數(k)可使各方向上傳送之輻射能的量最小化,因而減弱所傳送的入射輻射能及其反射。為了減少入射之輻射能的折射,DARC的折射率(n)匹配相關光阻材料的折射率。
藉由如上述之熱CVD製程或PECVD製程所形成的DARC,可促進反應物氣體的激發及/或解離。DARC膜的沉積必然涉及獨特的壓力、電極間隔、電漿功率設定點、氣體流速、整體氣流以及基板溫度。用於各膜之沉積的典型方法涉及穩定晶圓溫度、壓力、氣流,以及設定電極間隔,並接著點燃電漿。當沉積了期望量的膜時,熄滅電漿以終止沉積,並接著排空處理腔室中的所有揮發性物種。
當在相同處理腔室中沉積多重膜時,需要建立第一膜沉積的條件,並點燃電漿以沉積第一膜,並接著終止電漿。其後,建立第二膜沉積的條件,並點燃電漿以沉積第二膜,並接著終止電漿。可針對兩個以上的層持續此程序,直到沉積了期望的膜堆疊為止。然而,既然當電漿熄滅時在基板與顆粒之間沒有排斥力(例如,凡得瓦力)存在,造成非所欲的顆粒在後續多層之間的過渡期間吸附或掉落至基板上,此習用的方法使顆粒能在每次沉積結束時汙染基板。
此外,由於不完全反應物種存在沉積層表面之故,也可能形成非所欲的缺陷或顆粒。在於堆疊中形成覆蓋層的後續沉積期間,這些不完全反應的材料可能作為成核位置,用以與後續PECVD步驟之反應物進行反應。於底部介面處所造成的缺陷可能與後續膜一起被修飾,因而成為更大的缺陷。這些缺陷通常是無法偵測的,直到它們在沉積了許多層之後成為更大的缺陷為止。第4圖繪示介電堆疊的簡化剖面草圖,在介電堆疊的多層沉積期間,開始出現於底部介面處的一或多個缺陷402被修飾成更大的缺陷404。在沉積許多層之後,缺陷(以元件符號406表示)可能變得夠大而能改變介電堆疊的表面形貌,或影響介電堆疊的膜特性,從而使併入該堆疊的主動式電子裝置的效能不如預期。
因此,對於在處理腔室內之多層沉積期間減少基板上的缺陷形成之方法有所需求。
本發明之具體實施例提供用以在多層沉積期間減少缺陷的方法。於一具體實施例中,該方法包括下列步驟:在電漿存在下,暴露基板至第一氣體混合物以及惰性氣體,以於基板上沉積第一材料層;當第一材料之期望厚度達成時,終止第一氣體混合物,同時維持電漿並僅流入惰性氣體;以及在電漿存在下,暴露基板至相容於第一氣體混合物之惰性氣體以及第二氣體混合物,以在相同處理腔室中於第一材料層上沉積第二材料層而不移動基板,其中第一材料層及第二材料層彼此不同。
於另一具體實施例中,用以處理設置於處理腔室中之基板的方法,包括下列步驟:藉由將一或多種前驅物氣體以及惰性氣體流至腔室,來提供第一氣體混合物;施 加電場至氣體混合物並加熱氣體混合物,以解離氣體混合物中之一或多種前驅物氣體,以產生電漿;於基板上沉積第一材料,直到第一材料之期望厚度達成為止;在僅流入惰性氣體及仍維持電漿的同時,終止第一氣體混合物中之一或多種前驅物氣體之至少一氣流;穩定供處理腔室內之第二材料所用之處理條件;藉由將一或多種前驅物氣體流至相同處理腔室,來提供第二氣體混合物,其中第一氣體混合物以及第二氣體混合物彼此相容;以及於第一材料上沉積與第一材料不同的第二材料。
於再一具體實施例中,用以在處理腔室內之多層沉積期間減少缺陷的方法包括下列步驟:在電漿存在下,暴露基板至第一氣體混合物,以於基板上沉積第一材料層;終止第一氣體混合物,同時仍持續點燃電漿;穩定處理腔室內之處理條件;在電漿存在下,暴露基板至相容於第一氣體混合物之第二氣體混合物,以在相同處理腔室中於第一材料層上沉積第二材料層;以及終止第二氣體混合物,並排出產生於處理腔室中之任何氣體或電漿。
本發明提供一種用於在處理腔室內的多層沉積期間減少缺陷形成的方法。可從此製程獲益的膜包括諸如氧化 矽、氮氧化矽或氮化矽膜等的介電材料膜,其可被使用作為介電抗反射塗層(DARC)。於一具體實施例中,藉由在各沉積步驟之間維持持續性電漿,使得在先前沉積期間形成,或從處理腔室表面剝落的任何顆粒皆懸浮於電漿中,防止非所欲的顆粒落在基板上,以實現缺陷控制。非所欲的顆粒將維持懸浮於電漿中,直到完成最終層的沉積為止,並藉由清潔與抽取步驟移除,以最小化在整個沉積製程期間汙染基板的機會。於另一具體實施例中,在各沉積步驟之間的過渡期間,持續將惰性氣體流入處理腔室以維持電漿。同時,在背-靠-背沉積製程中,供後續層使用的(多種)前驅物氣體相容於供先前層使用的(多種)前驅物氣體,以在過渡階段期間維持穩定的處理條件。
範例硬體概覽
第1圖為適於實施本發明之具體實施例的真空處理系統之透視圖。第2圖為適於實施本發明之具體實施例的化學氣相沉積(CVD)腔室106之剖面示意圖。此類腔室之一實例為PRODUCER® 雙重腔室或DxZ® 腔室,其用於P-5000主架構或CENTURA® 平台中,且適用於200mm、300mm或更大尺寸的基板,全部可獲得自加州聖大克勞拉市的Applied Materials,Inc.。於第1圖中,系統100為自含系統支撐於主要框架結構101上,其中晶圓卡匣由主要框架結構101支撐,且晶圓可被裝載進入負載鎖定腔室112並自負載鎖定腔室112卸載,轉移腔室104安置晶圓操作器,一系列的串接製程腔室106安裝於轉移腔室104上,且背側端108安置系統100之運作所需的支持設施,如氣體面板、功率分佈面板以及功率產生器。系統可適於容納各種製程及支撐腔室硬體,如CVD、PVD以及蝕刻。以下所描述的具體實施例將指向應用諸如電漿增進之CVD製程等的CVD製程之系統,以沉積一或多種材料。
第2圖繪示界定兩個處理區域618、620之腔室106的剖面示意圖。腔室本體602包括界定兩個處理區域618、620的腔室側壁612、腔室內側壁614以及腔室底部壁616。各處理區域618、620中的底部壁616界定至少兩個通道622、624,且加熱器台座628的柄部626以及晶圓舉升銷組件的桿部630分別經過該等通道622、624而設置。
腔室106也包括氣體分配系統608,通常指的是「噴灑頭」,用以透過噴灑頭組件642內之氣體入口通道640遞送氣體進入處理區域618、620,噴灑頭組件642由環狀基礎板648構成,具有阻擋板644設置於面部板646中間。噴灑頭組件642也包括複數個垂直氣體通道,其可供透過氣體分配系統608遞送進入腔室之各反應氣體、載氣/惰性氣體以及清潔氣體所用。
基板支撐或加熱器台座628可藉由連接至舉升馬達603的柄部626而可動地設置在各處理區域618、620中。柄部626於腔室中向上且向下移動,以移動加熱器台座628定位其上方的基板(未繪示),或自其移除基板以進行處理。典型地使用氣流控制器來控制並調節不同製程氣體透過氣體分配系統608進入製程腔室106的流速。若使用液體前驅物,其它流動控制組件可包括液體流注射閥以及液體流控制器(未繪示)。可藉由如具有一或多個電阻元件的加熱器來加熱基板支撐件,且基板支撐件安置在柄部626上,以便藉由舉升馬達603可控制地在較低的裝載/卸載位置與鄰近氣體分配系統608之較高的處理位置之間移動基板支撐件及基板。
腔室側壁612以及腔室內側壁614界定兩個圓柱狀環形處理區域618、620。周圍抽取通道625形成於腔室壁內,用以自處理區域618、620排出氣體,並控制各區域618、620內的壓力。較佳地以陶瓷等製作的腔室插入件或內襯627,設置在各處理區域618、620中,以界定各處理區域的側向邊界,並保護腔室側壁612以及腔室內側壁614不受侵蝕性處理環境影響,並維持電氣隔離的電漿環境。複數個排出埠631,或周圍狹縫,位在處理區域618、620的外緣附近,並透過各內襯627設置,以連通形成在腔室壁中的抽取通道625,以達成期望的抽取率及均勻性。可控制與氣體分配系統的面部板有關之埠的數目以及高度,以在處理期間於晶圓上方提供最佳的氣流圖案。
藉由自功率供應器施加電場並加熱基板(如,藉由電阻式加熱元件),可自一或多種製程氣體或自氣體混合物形成電漿。將射頻(RF)或微波能量耦合(如電感耦合或電容耦合)至氣體分配系統608可產生電場。於某些例子中,氣體分配系統608可作為電極。當基板暴露至電漿以及提供於其中的反應性氣體時,會發生膜沉積。基板支撐件以及腔室壁通常接地。功率供應器可供應單一或混合的RF訊號至氣體分配系統608,以增進任何導入腔室106內之氣體的解離。當使用單一頻率RF訊號時,例如, 介於約350 kHz及約60 MHz之間,可施加介於約1及約2,000 W之間的功率至氣體分配系統608。
系統控制器控制諸如電源供應器、舉升馬達、用以注入氣體之流動控制器、真空泵等多種組件的功能以及其它相關的腔室及/或處理功能。系統控制器執行儲存於記憶體(於一具體實施例中,其為硬碟機)中的系統控制軟體,並可包括類比及數位輸入/輸出板、介面板以及步進馬達控制器板。光學及/或磁性感應器通常被用來移動並測定可動性機械組件的位置。類似系統揭露於1996年11月18日提申,授予Maydan等人,名稱為「Ultra High Throughput Wafer Vacuum Processing System」的美國專利第5,855,681號中,也揭露於1996年11月18日提申,授予Fairbairn等人,名稱為「Tandem Process Chamber」的美國專利第6,152,070號中。以上兩者皆讓渡給本發明的申請人,Applied Materials,Inc.。此類CVD製程腔室的另一實例描述於授予Wang等人,名稱為「Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process」的美國專利第5,000,113號中,並描述於授予Mosely等人並讓渡給Applied Materials,Inc,名稱為「Low Temperature Integrated Metallization Process and Apparatus」的美國專利第6,355,560號中。前述專利在不與本文之揭露內容相矛盾的限度內以參照方式併入本文。以上CVD系統之描述主要針對解說之目的,且其它電漿處理腔室也可被利用來實施本發明之具體實施例。
範例沉積製程
第3圖為繪示本發明之一具體實施例的流程圖。該製程始於啟動步驟301,其包括將基板置入處理腔室,例如,於上文連同第1及2圖進行描述之PECVD腔室。基板可為,例如矽基板、鍺基板、矽-鍺基板等。基板可包括複數個已經形成的層或特徵,如形成於基礎基板材料上的通孔、內連線或閘堆疊。
於步驟303,穩定化處理腔室以建立對將沉積於基板上之期望材料而言為合適的處理條件。穩定化可包括調整運作處理腔室以進行期望沉積所需之製程參數。製程參數可包括,但不限於,建構處理條件,例如,製程氣體組成以及流速、整體氣流、壓力、電極間隔(即,噴灑頭與基板支撐件之間的間隔)、電漿功率以及基板溫度,等等。
於步驟305,將第一氣體混合物導入處理腔室,用以於基板上沉積期望之材料,如第一介電層。第一氣體混合物可包括供沉積介電層所用之多種製程氣體前驅物、載氣及/或惰性氣體。舉例而言,在氧化矽膜沉積中,第一氣體混合物可包括製程氣體前驅物,如矽烷(SiH4 )、氧源氣體,例如,二氧化碳(CO2 )或氧化亞氮(N2 O),以及惰性氣體,例如,氦。於一實例中,流速約585 sccm的SiH4 氣體、流速約7000 sccm的CO2 氣體,流速約7000 sccm的氦氣,以及其它(例如,摻雜原子,若期望的話)被導入處理腔室達期望的時間段,如介於約0.1秒鐘及約120秒鐘之間,用以沉積氧化矽層。於一實例中,第一氣體混合物流入處理腔室達約5秒鐘。視情況,可與諸如氬或氦等惰性氣體一起將氧源氣體導入處理腔室,以增進腔室中的電漿穩定性及一致性。雖然本文未討論,但也可加入額外的製程氣體以控制或改良膜性質。舉例而言,當使用氧化矽介電層時,以諸如氮氣(N2 )或氧化亞氮(N2 O)等含氮物質之形式存在的氮,可被加入氧化矽層,以改變層的光學性質。這允許了對諸如折射率及吸收指數等膜的光學參數之準確控制。
本文所述之惰性氣體或氧源氣體可依不同的應用而變化。氧源氣體不限於二氧化碳。可使用其它含氧氣體,如O2 、O3 、N2 O及其組合。類似地,可根據將在處理腔室中進行的沉積來選擇惰性氣體。舉例而言,可使用氦作為惰性氣體,用以沉積包含矽、氧、碳及氫的低介電常數膜,同時可使用氬作為惰性氣體,用以沉積非晶碳膜或包含矽及碳但不含氧的膜。惰性氣體有助於穩定處理腔室或遠端電漿源中的壓力,並協助將反應性物種輸送至處理腔室。可考慮使用其它惰性氣體來沉積將於下文中討論的任何層。
可預期到,矽烷以外的其它含矽氣體也可用來沉積第一介電層。舉例而言,含矽氣體可包括,但不限於,二矽烷(Si2 H6 )、四氟矽烷(SiF4 )、二氯矽烷、三氯矽烷、二溴矽烷、四氯化矽、四溴化矽,或其組合物。或者,可根據應用使用有機含矽前驅物,如三矽烷基胺(trisilylamine;TSA)、四乙氧基矽烷(tetraethylorthosilicate;TEOS)或八甲基環四矽氧烷(octamethylcyclotetrasiloxane;OMCTS),等等。
如上所述使用氧化矽的DARC為供微影應用所用之一範例具體實施例,且不應被視為本發明之限制。舉例而言,氮氧化矽(SiOx Ny )可能是用於DARC的良好候選材料,因為其製程容易與其它基板處理運作整合,且其光學品質及製程參數已被熟知。在這樣的例子中,製程氣體前驅物可包括,例如矽烷以及氧化亞氮。可從本發明受益之第一介電層的介電材料可包括,但不限於氮化矽、碳化矽或碳氧化矽(silicon oxycarbide)層。根據不同應用或諸如折射率或質量密度等的所需膜性質,DARC層可為富含矽之氧化物、富含矽之氮化物、富含矽之氮氧化物、富含氫之氮化矽、碳摻雜之氧化矽、氧或氮摻雜之碳化矽、非晶矽或碳(未經摻雜或經N、B、F、O摻雜),或多孔或或緻密化的所有這些膜。可根據將被沉積的介電材料來變化前驅物氣體。舉例而言,當期望的是非晶碳時,氣體混合物可包括多種製程氣體前驅物,如一或多種碳氫化合物;多種載氣,如氬;以及惰性氣體。依據應用,碳氫化合物可為碳氫化合物的部份或完全摻雜之衍生物。於一實例中,衍生物包括碳氫化合物的含氮、含氟、含氧、含羥基以及含硼衍生物。
於步驟307,為了在腔室中提供電漿處理條件,於處理腔室中啟動RF功率。於RF功率存在下,第一氣體混合物於處理腔室中反應,以於基板上沉積具有如先前所討論之材料的第一介電層,如步驟309所示。於步驟307期間,可以介於約25 W及約3000 W之間的功率等級提供頻率為13.56 MHz之電漿。於一實例中,以介於約25 W及約200 W之間的功率等級(如約150 W)提供電漿。可將RF功率提供至噴灑頭,即,如第2圖所示之氣體分配系統608,及/或處理腔室之加熱器台座628。於此步驟期間,噴灑頭與基板支撐件的間隔可能大於約230密耳,如介於約350密耳及約800密耳之間。於一實例中,該的間隔約為520密耳。同時,腔室溫度以及壓力可分別維持在約400℃及約2 Torr至約10 Torr。
於步驟311,於終止諸如矽烷等一或多種製程氣體前驅物的同時,仍流入氣體混合物中之惰性氣體。於一實例中,諸如氦氣等惰性氣體維持介於約1秒鐘及約1分鐘之間,如介於約5秒鐘及約10秒鐘之間。既然製程氣體前驅物被終止,持續的惰性氣體流有助於自基板表面清除顆粒,同時確保在此過渡階段期間,不會有顯著量的非所欲沉積發生於基板上。此外,藉由在第一介電層沉積於基板上之後立即終止矽烷流,可減少處理腔室內部的顆粒汙染源,藉以降低顆粒掉落至基板表面的機會。
於步驟311期間,在終止製程氣體前驅物流的同時仍維持RF功率,使得電漿持續點燃。發明人已觀察到,介電層沉積後仍持續的電漿將顯著降低基板汙染的機會。這是因為在沉積期間形成的顆粒將維持帶有負電荷,並因顆粒與負偏壓基板表面之間的排斥力之故而懸浮於電漿中,從而防止非所欲顆粒落至基板表面上。此外,藉由在各沉積之間使用持續性電漿,以非化學計量且非平衡的濃度存在之反應性物種可完全被反應以形成部分的膜,而不會凝聚形成當電漿熄滅時落在基板頂部的顆粒。
其後,在RF功率仍開啟的同時,可藉由將諸如氦氣等之清潔氣體導入處理腔室達期望的時間段,來視情況進行清潔步驟313,以清潔處理腔室中任何殘留的前驅物氣體。可以介於約100 sccm及約20,000 sccm之間的慢速將清潔氣體導入處理腔室。清潔氣體可流入處理腔室達諸如介於約0.1秒鐘及約60秒鐘之間的時間段。在清潔氣體流入處理腔室的同時,處理腔室的壓力可介於約5 mTorr及約10 Torr之間,且處理腔室中的基板支撐件之溫度可介於約125℃及約580℃之間。於一實例中,諸如氦氣等清潔氣體以約7,000 sccm的流速流入處理腔室達約5秒鐘。腔室壓力可為約2 Torr且基板支撐件的溫度可為約400℃。本發明所屬技術領域中之習知技藝者應注意到,可根據基板的尺寸以及沉積腔室的容積,來調整此揭露內容所提供的製程氣體前驅物、載氣、惰性氣體之流速或其它處理條件。
於步驟315,在視情況進行的清潔步驟之後,可穩定處理腔室以建立處理條件,其適用於在基板上沉積期望材料,如第二介電層。類似步驟303,穩定化可包括調整運作處理腔室以完成第二介電層所必需的製程參數。製程參數可包括,但不限於,設定的處理條件,例如,製程氣體組成、流速、整體氣流、壓力、電極間隔、電漿功率以及基板溫度,等等。在各沉積之間的過渡階段期間,由於電漿非常敏感,因此氣流、腔室壓力或RF功率之調整可能容易導致電漿不穩定性。舉例而言,轉變成伴隨著高功率以及低電極間隔的低壓力可能導致電弧產生,其可對設備或膜特性產生不良影響。為此,在各沉積之間的此過渡階段期間將製程參數保持在期望的製程視窗(process window)內是重要的。此外,既然用於下個沉積的處理參數為已知,即便在使用非常高的功率(例如, 約2.4 GHz)時,仍可在以期望的高功率工作前預先相應調整電極間隔、腔室壓力及其它製程參數,而不會導致電弧或其它對膜沉積的非所欲傷害之產生。
於步驟317,將第二氣體混合物導入處理腔室,用於將諸如第二介電層等期望的材料沉積於基板上,如步驟319所示。第二氣體混合物可包括供沉積第二介電層所用的多種製程氣體前驅物、載氣及/或惰性氣體。舉例而言,在氮化矽膜沉積中,第二氣體混合物可包括諸如矽烷(SiH4 )、氨(NH3 )等製程氣體前驅物,且於某些例子中可包括氮(N2 )。於一實例中,流速約100至500 sccm的SiH4 氣體、流速約100至4000 sccm的氨氣體及其它(例如, 摻雜原子,若期望的話)被導入處理腔室達期望的時間段,如介於約0.1秒鐘及約120秒鐘之間,用以沉積氮化矽層。於一實例中,第二氣體混合物流入處理腔室達約5秒鐘。
其後,為了在腔室中提供電漿處理條件,於處理腔室中啟動RF功率。於RF功率存在下,第二氣體混合物於處理腔室中反應,以於基板上沉積第二介電層,其具有將於下文討論之材料。於步驟319期間,可以介於約10 W及約3000 W之間的功率等級提供頻率為13.56 MHz之電漿。於一實例中,以介於約25 W及約200 W之間的功率等級(如約150 W)提供電漿。可將RF功率提供至處理腔室的噴灑頭及/或基板支撐件。於此步驟期間,噴灑頭與基板支撐件的間隔可能大於約230密耳,如介於約350密耳及約800密耳之間。於一實例中,該的間隔約為450密耳。同時,腔室溫度以及壓力可分別維持在約400℃及約2 Torr至約10 Torr。
在第一介電層沉積與第二介電層沉積之間的過渡階段,第二氣體混合物可進一步包括載氣,如氦。於一實例中,氦氣可以介於約7000 sccm及約20,000 sccm之間的流速流入處理腔室。使製程氣體前驅物流入處理腔室以沉積第一及第二介電層的時間點,可依據不同的應用而變化。在第一介電層為氧化矽且第二介電層為氮化矽的實例中,可能期望在維持氦電漿的同時斜降氧化亞氮流且斜升氨或氮流。或者,在將氧化亞氮流切換為氨或氮流之前可能存在時滯(time lag)。
可預期到,矽烷以外的其它含矽氣體也可用來沉積第二介電層。舉例而言,含矽氣體可包括,但不限於二矽烷(Si2 H6 )、四氟矽烷(SiF4 )、二氯矽烷、三氯矽烷、二溴矽烷、四氯化矽、四溴化矽,或其組合物。或者,也可根據應用使用有機含矽前驅物,如三矽烷基胺(TSA)、四乙氧基矽烷(TEOS)或八甲基環四矽氧烷(OMCTS),等等。類似地,也可使用氨以外的任何含氮氣體。舉例而言,含氮氣體可包括,但不限於氧化亞氮(N2 O)、一氧化氮(NO)、氮氣(N2 )、其組合物,或其衍生物。
可從本發明受益之第二介電層的介電材料可包括,但不限於氧化矽、碳化矽或碳氧化矽層。根據不同應用或諸如折射率或質量密度等的所需膜性質,DARC層可為富含矽之氧化物、富含矽之氮化物、富含矽之氮氧化物、富含氫之氮化矽、碳摻雜之氧化矽、氧或氮摻雜之碳化矽、非晶矽或碳(未經摻雜或以N、B、F、O摻雜),或多孔或或緻密化的所有這些膜。雖然本文討論以氮化矽作為第二介電層的實例,但可使用其它適用於微影應用的介電材料。當於背-靠-背式沉積製程中期望不同介電膜之多重層時,較佳的是,用於後續介電層的(多種)前驅物氣體與用於先前介電層的(多種)前驅物氣體相容,使得在各膜沉積之間的過渡階段期間的任何改變對膜的性質而言是和緩且較無害的。於此具體實施例中,例如,若使用矽烷作為主要前驅物氣體來沉積第一介電層,如氧化矽、氮氧化矽或氮化矽,則用於沉積第二介電層的前驅物氣體較佳應屬於矽烷家族,如單矽烷(SiH4 )、二矽烷(Si2 H6 )、三矽烷(Si3 H8 )、二氯矽烷(SiH2 Cl2 )或三氯矽烷(SiHCl3 )。彼此為化學相容的另一膜之家族為四乙氧基矽烷(TEOS)系氧化矽膜加上硼及/或磷摻雜之TEOS系氧化矽,或TEOS系未經摻雜之氧化矽膜加上氟摻雜之TEOS系氧化矽膜,等等。
於步驟321,終止RF功率以及如矽烷等一或多種製程氣體前驅物的流動,以確保不會有顯著的非所欲之沉積發生於基板上。於一具體實施例中,惰性氣體流可持續達期望的時間段,以協助將非所欲的顆粒自基板表面清除。於一實例中,如氦氣等惰性氣體流可維持達約1秒鐘至約1分鐘,如介於約5秒鐘及約180秒鐘之間。於另一具體實例中,在第二介電層的沉積之前(例如,在使用穩定步驟來建立合適於第二介電層之沉積的處理條件之前)終止惰性氣體。
於步驟323,藉由將清潔氣體導入處理腔室達期望的時間段來清出處理腔室的殘存前驅物氣體或惰性氣體,可進行類似步驟313的視情況進行之清潔步驟。
於步驟325,於步驟323期間仍持續的RF功率被終止,同時諸如惰性氣體等氣體仍繼續流動。或者,可在終止惰性氣體以及排出步驟之前,終止RF功率。
於步驟327,關閉所有氣體,並將仍殘留在處理腔室內的任何顆粒、汙染物、諸如含前驅物氣體、載氣、惰性氣體等氣體或電漿抽出處理腔室達期望的時間段。於一實例中,透過製程末期將處理腔室排空。於另一實例中,處理腔室被排空約1秒鐘至約2分鐘,如約10秒鐘。其後,自腔室移除基板。
本發明的主要優點之一在於,使用電漿CVD處理之不同薄膜的多重層沉積期間及沉積之後,具持續性電漿之多重層沉積(例如 DARC膜)之缺陷減少。藉由維持各沉積之間的電漿,可顯著減少基板上之非所欲的缺陷,因為:(1)於沉積期間形成的顆粒,或處理腔室表面的任何剝落物皆懸浮於電漿中,直到完成最終層為止,防止它們落在基板上;(2)在最後一層沉積之後且在熄滅電漿之前,任何殘留的顆粒可經對流及/或抽取離開處理腔室;以及(3)以非化學計量且非平衡的濃度存在之反應性物種可完全被反應以形成部分的膜,而不會凝聚形成當電漿熄滅時落在基板頂部的顆粒。
雖然上述具體實施例應用了直接或間接堆疊於彼此頂部的兩個分離層,但只要在各層的沉積之間使用持續性電漿時,供後續層使用的(多種)前驅物氣體能化學相容於供先前層使用的(多種)前驅物氣體的話,也可考慮將本發明應用在涉及兩個以上之不同層於相同處理腔室中的沉積製程。
儘管以上內容係針對本發明之具體實施例,但是可在不脫離本發明之基本範疇的情況下設計本發明之其它及進一步的具體實施例,且其範疇由以下申請專利範圍決定。
100...系統
101...框架結構
104...轉移腔室
106...製程腔室
108...背側端
112...負載鎖定腔室
301~327...步驟
402、404、406...缺陷
602...腔室本體
603...舉升馬達
608...氣體分配系統
612...側壁
614...內側壁
616...底部壁
618、620...處理區域
622、624...通道
625...抽取通道
626...柄部
627...內襯
628...加熱器台座
630...桿部
631...排出埠
640...氣體入口通道
642...噴灑頭組件
644...阻擋板
646...面部板
648...基礎板
藉由參照附圖所描繪的若干具體實施例,可瞭解於上文簡要概述以及於下文更細部描述之本發明的具體實施例。然而,請注意,附圖僅圖解本發明的典型具體實施例,因此不應被視為本發明的範疇之限制,對本發明而言,可接受其它等效的具體實施例。
第1圖為適於實施本發明之一具體實施例的範例真空處理系統之透視圖。
第2圖為適於實施本發明之一具體實施例的範例處理腔室之剖面圖。
第3圖為繪示本發明之一具體實施例的製程流程圖。
第4圖描繪缺陷,其開始出現於底部介面並在形成介電堆疊時的多層沉積期間被修飾成更大的缺陷。
301~327...步驟

Claims (8)

  1. 一種用以處理設置於一處理腔室中之一基板的方法,包含下列步驟:暴露該基板至藉由施加一電場而自一第一氣體混合物以及一惰性氣體所產生之一電漿,以於該基板上沉積一第一材料層;當該第一材料之一期望厚度達成時,終止該第一氣體混合物,同時維持該惰性氣體及該電場,以持續點燃該電漿;以及暴露該基板至藉由維持該電場而自相容於該第一氣體混合物之該惰性氣體以及一第二氣體混合物所產生之一電漿,以在該相同處理腔室中於該第一材料層上沉積一第二材料層而不移除該基板,其中該第一材料層及該第二材料層彼此不同。
  2. 如申請專利範圍第1項所述之方法,進一步包含下列步驟:於該第二材料層之該沉積之前,穩定供該第二材料層之該沉積所用之一處理條件。
  3. 如申請專利範圍第1項所述之方法,其中該惰性氣體包含氬或氦。
  4. 如申請專利範圍第1項所述之方法,進一步包含下列步驟:於該第二材料層被沉積之後,終止該電場同時仍流入該惰性氣體。
  5. 如申請專利範圍第4項所述之方法,進一步包含下列步驟:終止所有該等氣體,並將產生於該處理腔室中的任何氣體或電漿排出。
  6. 如申請專利範圍第1項所述之方法,其中該第一及第二材料包含選自由氮化矽、富含矽之氮化物、富含氫之氮化矽、氧化矽、富含矽之氧化物、氮氧化矽、富含矽之氮氧化物、非晶矽、碳化矽、碳摻雜之氧化矽、氧或氮摻雜之碳化矽、經摻雜之非晶矽、非晶碳、非晶矽或碳(未經摻雜或經N、B、F、O摻雜),以及多孔或緻密化之所有以上材料所組成之群組中之一材料。
  7. 如申請專利範圍第1項所述之方法,其中該第一及第二材料包含選自由四乙氧基矽烷(TEOS)系氧化矽、硼及/或磷摻雜之TEOS系氧化矽、TEOS系未經摻雜之氧化矽,以及氟摻雜之TEOS系氧化矽所組成之群組中之一材料。
  8. 如申請專利範圍第1項所述之方法,其中以介於約25W及約3000W之間的功率等級提供頻率為13.56MHz 之該電漿。
TW099145295A 2009-12-22 2010-12-22 伴隨持續的電漿之pecvd多重步驟處理方法 TWI512136B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US28930009P 2009-12-22 2009-12-22

Publications (2)

Publication Number Publication Date
TW201139723A TW201139723A (en) 2011-11-16
TWI512136B true TWI512136B (zh) 2015-12-11

Family

ID=44151506

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099145295A TWI512136B (zh) 2009-12-22 2010-12-22 伴隨持續的電漿之pecvd多重步驟處理方法

Country Status (6)

Country Link
US (1) US20110151142A1 (zh)
JP (1) JP2013515376A (zh)
KR (1) KR20120103719A (zh)
CN (1) CN102652186A (zh)
TW (1) TWI512136B (zh)
WO (1) WO2011087698A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12094689B2 (en) 2020-07-19 2024-09-17 Applied Materials, Inc. Switchable delivery for semiconductor processing system

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
JP5374638B2 (ja) 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US20140117511A1 (en) * 2012-10-30 2014-05-01 Infineon Technologies Ag Passivation Layer and Method of Making a Passivation Layer
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103866281B (zh) * 2012-12-12 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体增强化学气相沉积设备
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
JP2015070233A (ja) * 2013-09-30 2015-04-13 株式会社東芝 半導体装置の製造方法
JP5847783B2 (ja) * 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) * 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
GB201504202D0 (en) * 2015-03-12 2015-04-29 Spts Technologies Ltd PE-CVD apparatus and method
JP6301866B2 (ja) * 2015-03-17 2018-03-28 東芝メモリ株式会社 半導体製造方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9711360B2 (en) 2015-08-27 2017-07-18 Applied Materials, Inc. Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR101942819B1 (ko) * 2016-02-05 2019-01-30 연세대학교 산학협력단 박막 형성 방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
WO2018089411A1 (en) * 2016-11-13 2018-05-17 Applied Materials, Inc. Surface treatment for euv lithography
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
CN107507762B (zh) * 2017-09-04 2019-05-03 常州亿晶光电科技有限公司 一种提高氮化硅薄膜富含氢的方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN108231432B (zh) * 2017-12-29 2019-12-13 武汉艾特米克超能新材料科技有限公司 一种改善超级电容器自放电的方法
JP6997000B2 (ja) * 2018-02-14 2022-01-17 Sppテクノロジーズ株式会社 シリコン窒化膜の製造方法及び製造装置
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN112868087A (zh) * 2018-10-10 2021-05-28 朗姆研究公司 用于膜沉积和表面处理的连续等离子体
KR20210091825A (ko) * 2018-12-13 2021-07-22 어플라이드 머티어리얼스, 인코포레이티드 인 도핑된 실리콘 나이트라이드 막들을 증착하기 위한 방법들
CN109913858B (zh) * 2019-03-13 2021-03-23 Tcl华星光电技术有限公司 化学气相沉积非晶硅镀膜均匀性的改善方法
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
CN113097041B (zh) * 2019-12-23 2023-10-31 中微半导体设备(上海)股份有限公司 防止产生污染物的零部件处理方法及等离子体处理装置
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
JP2022120690A (ja) * 2021-02-05 2022-08-18 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2024137399A1 (en) * 2022-12-20 2024-06-27 Lam Research Corporation Forming halogen-doped dielectric films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI248637B (en) * 2003-08-21 2006-02-01 Tokyo Electron Ltd Method and apparatus for depositing materials with tunable optical properties and etching characteristics
TW200809002A (en) * 2006-06-23 2008-02-16 Applied Materials Inc Methods to improve the in-film defectivity of PECVD amorphous carbon films
TW200814157A (en) * 2006-08-23 2008-03-16 Applied Materials Inc Overall defect reduction for PECVD films

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07172809A (ja) * 1993-10-14 1995-07-11 Applied Materials Inc 基板上への珪化タングステンコーティングの堆積操作の事前に堆積チャンバのアルミニウムを有する表面を処理する予備処理プロセス
JP3394101B2 (ja) * 1993-11-02 2003-04-07 東京エレクトロン株式会社 半導体装置の製造方法
JP3529466B2 (ja) * 1993-12-27 2004-05-24 株式会社東芝 薄膜形成方法
KR100245094B1 (ko) * 1997-04-18 2000-03-02 김영환 반도체 배선 형성 방법
TW507015B (en) * 1997-12-02 2002-10-21 Applied Materials Inc In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
JP4955848B2 (ja) * 2000-02-28 2012-06-20 エルジー ディスプレイ カンパニー リミテッド 電子素子用基板製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100556216B1 (ko) * 2003-11-18 2006-03-03 프리시젼다이아몬드 주식회사 다이아몬드 막이 증착된 절삭공구 제조 방법
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US20060172545A1 (en) * 2005-02-02 2006-08-03 Texas Instruments, Inc. Purge process conducted in the presence of a purge plasma
JP4320652B2 (ja) * 2005-09-08 2009-08-26 エプソンイメージングデバイス株式会社 層間絶縁膜の形成方法及び基板

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI248637B (en) * 2003-08-21 2006-02-01 Tokyo Electron Ltd Method and apparatus for depositing materials with tunable optical properties and etching characteristics
TW200809002A (en) * 2006-06-23 2008-02-16 Applied Materials Inc Methods to improve the in-film defectivity of PECVD amorphous carbon films
TW200814157A (en) * 2006-08-23 2008-03-16 Applied Materials Inc Overall defect reduction for PECVD films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12094689B2 (en) 2020-07-19 2024-09-17 Applied Materials, Inc. Switchable delivery for semiconductor processing system

Also Published As

Publication number Publication date
US20110151142A1 (en) 2011-06-23
WO2011087698A2 (en) 2011-07-21
JP2013515376A (ja) 2013-05-02
TW201139723A (en) 2011-11-16
WO2011087698A3 (en) 2011-11-17
KR20120103719A (ko) 2012-09-19
CN102652186A (zh) 2012-08-29

Similar Documents

Publication Publication Date Title
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
US7094442B2 (en) Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
TW413885B (en) Methods for forming self-planarized dielectric layer for shallow trench isolation
US20070286965A1 (en) Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
TWI623976B (zh) 保形膜之電漿活化沈積
TWI391996B (zh) 電漿輔助化學氣相沉積薄膜之總缺陷降低方法
US6521302B1 (en) Method of reducing plasma-induced damage
US20070087522A1 (en) Dielectric Gap Fill With Oxide Selectively Deposited Over Silicon Liner
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
KR20220132631A (ko) 국부적인 응력 변조를 위한 uv 경화
US9850574B2 (en) Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
KR101029286B1 (ko) 조정 가능한 광학적 특성 및 에칭 특성을 갖는 물질을 증착하는 방법 및 장치
CN107408494B (zh) 缺陷平面化
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics