TWI391996B - 電漿輔助化學氣相沉積薄膜之總缺陷降低方法 - Google Patents

電漿輔助化學氣相沉積薄膜之總缺陷降低方法 Download PDF

Info

Publication number
TWI391996B
TWI391996B TW096126430A TW96126430A TWI391996B TW I391996 B TWI391996 B TW I391996B TW 096126430 A TW096126430 A TW 096126430A TW 96126430 A TW96126430 A TW 96126430A TW I391996 B TWI391996 B TW I391996B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
film
precursor
plasma
Prior art date
Application number
TW096126430A
Other languages
English (en)
Other versions
TW200814157A (en
Inventor
Annamalai Lakshmanan
Vu Nt Nguyen
Sohyun Park
Ganesh Balasubramanian
Steven Peiter
Tsutomu Kiyohara
Francimar Schmitt
Bok Hoen Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200814157A publication Critical patent/TW200814157A/zh
Application granted granted Critical
Publication of TWI391996B publication Critical patent/TWI391996B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Description

電漿輔助化學氣相沉積薄膜之總缺陷降低方法
本發明之實施例係涉及一種利用化學氣相沉積法(CVD)而在半導體基材上沉積薄膜層之設備及方法,特別是一種用於降低沉積在半導體基材上之薄膜的缺陷之設備及方法。
半導體之製造係包括用於在半導體基材上製造多層特徵結構(feature)之一系列製程。製程室可包括例如基材預處理室、清洗室、烘烤室、冷卻室、化學氣相沉積室、物理氣相沉積室、蝕刻室及電化學電鍍室等。成功的操作需要一連串的基材在該些腔室之間進行處理,且該些腔室係在一連串基材中的各個基材上進行穩態的表現。
在半導體製造過程中,例如氧化物(舉例為碳摻雜氧化物)之物質係通常於處理室中(例如為沉積室,且舉例為化學氣相沉積室)而沉積在基材上。於一典型CVD製程中,基材係暴露於一或多個在CVD室中流動的揮發性前驅物,該些前驅物會於基材表面產生反應及/或分解而產生所期望之沉積物。通常,亦會產生揮發性副產物,其可藉由流經CVD室的氣流來移除之。於電漿輔助化學氣相沉積(PECVD)中,電漿係於CVD室中產生以增進前驅物的化學反應速率。PECVD處理係允許在低溫下進行沉積,而此通常對於半導體之製造係為關鍵的。
致命的缺陷(例如群集型缺陷;cluster type defect)會造成半導體元件的失效,而該些缺陷係在半導體製造期間由於已存在缺陷之污染物及/或產物所造成。半導體製程(例如PECVD製程)由於其特徵尺寸的連續降低及基材和晶粒尺寸的增加,因而對缺陷變得更為敏感。因此,更需要一種用於在半導體處理中降低總缺陷的設備及方法。
本發明一般係提供一種用以降低沉積在半導體基材上之薄膜的缺陷之設備及方法。
本發明之一實施例係提供一種用於處理基材之方法。該方法包括:將基材放置於一處理室中;以一第一電漿處理基材,且第一電漿係設置以減少在基材上已存在之缺陷;以及施加由至少一前驅物及至少一反應物氣體所產生之一第二電漿,以在基材上沉積包括矽及碳之薄膜。
本發明之另一實施例係提供一種用於在一PECVD(電漿輔助化學氣相沉積)室中處理一基材之方法。該方法包括:將基材放置於PECVD室中;提供一第一反應物至PECVD室,並施加處於一第一層級之射頻功率,其中第一反應物係設置以減少在基材上已存在之缺陷;以及提供一第二反應物至PECVD室,並施加處於一第二層級之射頻功率,其中第二反應物係設置以在基材上沉積一薄膜。
本發明之又一實施例係提供一種用於處理一基材之方法。該方法包括:將基材放置於一處理室中;利用一第一電漿以對基材進行預處理,藉以減少在基材上已存在之缺陷;利用由一前驅物及一反應物氣體所產生之一第二電漿而在基材上沉積一薄膜;以及利用由反應物氣體所產生之一第三電漿來淨化處理室。
本發明一般係提供用於降低在PECVD薄膜中之總缺陷的設備及方法。本發明包括加載鎖定室,其係配置以在一升高溫度下加熱基材,而使其具有較佳之微粒表現(particle performance)。本發明亦包括對於一待沉積之基材進行一電漿處理,並對於前驅物及功率供應提供一較低的上升速率。
本發明一般係提供可降低PECVD製程中之總缺陷的設備及方法。本發明包括加載鎖定室,其係配置以在一升高溫度下加熱基材,而使其具有較佳之微粒表現(particle performance)。本發明亦包括對於一待沉積之基材進行一電漿處理,並對於前驅物及功率供應提供一較低的上升速率。
本發明於下方之描述係參照PRODUCERSE CVD系統或DXZCVD系統之修飾系統,兩者皆購自加州聖克拉拉之應用材料公司(Applied Materials,Inc.)。PRODUCERSE CVD系統(200 mm或300 mm)具有二個分離處理區域,其係用以沉積碳摻雜氧化矽以及其他物質,並且描述於美國專利第5,855,681號及第6,495,233號,在此將其併入以做為參考。DXZCVD室係描述於美國專利第6,364,954號,其公告於2002年4月2日,並在此將其併入以做為參考。
「第1圖」係繪示根據本發明之一實施例的PECVD系統100之剖面視圖。PECVD系統100通常包括一腔室主體102,其係支撐一腔室蓋104,而該腔室蓋104係藉由一絞練而附接至腔室主體102。腔室主體102包括一側壁112及一底壁116,以界定出一處理區域120。腔室蓋104可包括穿設於其中之一或多個氣體分配系統108,用以將反應物及清洗氣體輸送至處理區域120。一周圍的抽氣通道125係形成於側壁112中,並耦接至抽氣系統164,該抽氣通道125係配置以將氣體由處理區域120排出並控制處理區域120中的壓力。二通道122、124係形成於底壁116中。加熱器座128之一柄126係用以支撐及加熱待處理之基材並通過該通道122。一桿130係配置以啟動該基材升舉銷161通過該通道124。
加熱器座128係可移動地設置於處理區域120,其係由耦接至柄126的驅動系統103所驅動。加熱器座128可包括加熱元件(例如電阻元件)以加熱設置於其上之基材至一期望製程溫度。可選擇地,加熱器座128可以由外部加熱元件(例如燈組件)所加熱。驅動系統103可包括線性致動器或馬達及減速齒輪組件,以使加熱器座128在處理區域120內上升或下降。
腔室襯墊127較佳係由石英製成,其係設置於處理區域120以保護側壁112免受腐蝕性處理環境之傷害。腔室襯墊127可由形成於側壁112之突出件129所支撐。複數個排出口131係形成於腔室襯墊127上。複數個排出口131係配置以將處理區域120連接至抽氣通道125。
氣體分配系統108係配置以輸送反應物及清洗氣體,其係穿設於腔室蓋104而將氣體傳送入處理區域120。氣體分配系統108包括氣體入口通道140,以將氣體輸送入噴器頭組件142。噴器頭組件142係由環狀基板148構成,基板148具有阻擋板144設置於面板146中間。耦接至噴器頭組件142的RF(射頻)源165係提供偏置電位至噴器頭組件142,以促進在噴器頭組件142之面板146與加熱器座128之間產生電漿。RF源165通常包括高頻射頻(HFRF)電源(例如13.56MHz RF產生器)以及低頻射頻(LFRF)電源(例如300kHz RF產生器)。LFRF電源提供低頻率的產生及固定匹配元件兩者。HFRF電源係設計而與固定匹配一同使用,並調節輸送至負載的功率,因而免除對發射與反射功率之顧慮。
冷卻通道147係形成於氣體分配系統108之基板148中,以在操作過程中冷卻基板148。冷卻入口145係將冷卻劑流體(例如水等)輸送入冷卻通道147。冷卻劑流體則通過冷卻劑出口149而離開冷卻通道147。
腔室蓋104更包括匹配通路,以將來自一或多個氣體入口166及遠端電漿源162之氣體輸送至設置於腔室蓋104頂端的氣體入口歧管167。
腔室清洗處理可週期性地或在閒置期間進行,以降低PECVD系統100之微粒污染。腔室清洗處理可利用設置而接近處理室之遠端電漿源(例如遠端電漿源162)所產生的遠端電漿來進行。遠端電漿源162係配置以提供活性物種至處理區域120,以自內部表面移除沉積物質。遠端電漿源162通常係連接至前驅物源163、載氣源168及一電源169。在操作過程中,前驅物氣體係以一預定流速而流入遠端電漿源162。電源169係提供射頻或微波功率,以活化遠端電漿源162中的前驅物氣體而形成活性物種,該活性物種接著透過氣體入口歧管167及氣體分配系統108而流入處理區域120。載氣(例如氬氣、氮氣、氦氣、氫氣或氧氣等)可流入遠端電漿源162及處理區域120以助於活性物種之輸送及/或協助清洗處理,或者是協助處理區域120中之電漿的初始化及/或穩定。於一實施例中,電源169係提供廣範圍(例如400KHz~13.56MHz)之射頻功率。反應性氣體係選自廣範圍之選擇,包括常使用的鹵素及鹵素化合物。舉例來說,反應性氣體可以為氯、氟或其化合物,例如NF3 、CF4 、SF6 、C2 F6 、CCl4 、C2 Cl6 等,係取決於欲移除之沉積物質。遠端電漿源162通常設置而接近處理區域120,此乃因為自由基之存活時間通常較短。
一或多個處理氣體係透過氣體輸入歧管167而輸送至處理區域120。一般來說,由待輸送至處理室之處理區域的前驅物形成氣體或蒸氣之方法有三種,因而可藉以將所期望物質之層形成於基材上。第一種方法為昇華製程,其中固態之前驅物係利用受控製程而蒸發,使得前驅物在安瓿中由固相轉變為氣相(或蒸氣)。第二種方法係藉由蒸發製程而產生前驅物氣體,其中載氣係沸騰穿過溫控之液體前驅物,則載氣會帶走前驅物氣體。於第三種方法中,前驅物氣體係於液體輸送系統中產生,其中液體前驅物係輸送至蒸餾器,液體前驅物則藉由將額外能量傳送至蒸餾器以使由液態轉變為氣態。PECVD系統100通常包括一或多個前驅物輸送系統。PECVD系統100可包括一或多個液體輸送氣源150,以及一或多個配置以提供載氣及/或前驅物氣體之氣源172。
PECVD系統100可配置以將多種薄膜沉積在基材上,例如來自八甲基環四矽氧烷(OMCTS)的碳摻雜氧化矽薄膜、來自三甲基矽烷(TMS)的碳摻雜氧化矽薄膜、沉積自四乙氧基矽烷(TEOS)之氧化矽薄膜、來自矽烷(SiH4 )之氧化矽薄膜、來自二乙氧基甲基矽烷及α-萜品烯的碳摻雜氧化矽薄膜,以及碳化矽薄膜。
一般來說,待於PECVD系統(PECVD系統100)中進行加熱之基材可在加載鎖定室中進行預熱及/或冷卻。在一實施例中,加載鎖定室係維持在與PECVD室相同之真空或壓力層級下,並透過一閥(例如狹縫閥)而與PECVD室為選擇性流體連通。在另一實施例中,加載鎖定室及PECVD室皆可耦接至傳輸室,該傳輸室具有一傳輸機械手臂設置於其中。基材可藉由傳輸機械手臂而傳輸於傳輸室及加載鎖定室之間。基材可在加載鎖定室中加熱及冷卻,因此可花費較少時間在PECVD室中,因而增加系統產量。
「第2圖」係概要繪示根據本發明之一實施例的加載鎖定室200。加載鎖定室200係包括腔室主體201,其係定義出一腔室空間202,該腔室空間202係配置以在沉積製程之前及/或之後而用以容納基材211。狹縫閥203係設置於腔室主體201上,用以將基材211傳輸進出腔室空間202。抽氣系統212係可以與腔室空間202為選擇性流體連通,以使腔室空間202維持在一期望壓力之下。加熱器組件204係配置以支撐及加熱基材,其係通常設置於腔室空間202內。於一實施例中,加熱器組件204可以為陶瓷加熱器,其係具有電阻加熱元件形成於其中。複數個間隙器205係設置於加熱器組件204之頂表面213上,並配置以在具有較少接觸面積之前提下接觸及支撐基材211。於一實施例中,複數個間隙器205係由接觸時不大可能會產生微粒之物質所製成。於另一實施例中,複數個間隙器205對於基材211與頂表面213之間的空氣具有相似的熱傳導性,因此,可提供均一的加熱效果。在加熱器組件204中係形成有至少三個穿孔206,以提供設置於升舉板209上之升舉銷208的通道。「第3圖」係概要繪示加熱器組件204之一實施例的上視圖。升舉板209係相對於加熱器組件204而垂直移動,因此可藉由升舉銷208而將基材自加熱器組件204上拾起,並藉由升舉銷208而將基材211放置在加熱器組件204上。於一實施例中,加熱器組件204係由柱207所支撐,該柱207係設置在形成於升舉板209中之中央孔洞210內。
於PECVD系統(例如PECVD系統100)中所進行之沉積製程在特徵尺寸降低及基材與晶粒尺寸增加之下對於缺陷更加敏感。本發明係提供多種單獨或結合使用之方法,以降低PECVD沉積製程中的缺陷。示範性的方法包括在一升高溫度下預熱基材、在電漿中預處理基材、在陳化(seasoning)處理中利用較低之射頻(RF)、利用較低之上升速率來供應前驅物,以及在沉積步驟之後進行電漿淨化。本發明所提出之方法可以單獨或結合使用,並將詳細描述如下。
基材之預熱
於現今之PECVD製程中,基材在裝載至PECVD室而用於PECVD製程之前通常設置在一加載鎖定室中。一般來說,基材首先導引至真空中,並在加載鎖定室內維持在小於約75℃之溫度下。
觀察係顯示基材上已存在的缺陷(例如移動的微粒)會成為反應性前驅物物種之成核處,並會導致在PECVD沉積中形成遠大於已存在缺陷之缺陷。較晚形成之缺陷可能具有大於10微米之尺寸,並成為形成於基材上之元件的致命缺陷。當基材加熱至一升高溫度(例如超過100℃),於基材上的移動微粒係可自表面而去吸附。於本發明之一實施例中,基材係於加載鎖定室中而於一升高溫度下預熱一段時間,以降低在其後沉積之PECVD薄膜上所產生的總缺陷。
預熱基材一段時間係可用於降低在基材上沉積各種薄膜之過程中所產生的群集型缺陷,該些薄膜例如來自八甲基環四矽氧烷(OMCTS)的碳摻雜氧化矽薄膜、來自三甲基矽烷(TMS)的碳摻雜氧化矽薄膜、沉積自四乙氧基矽烷(TEOS)之氧化矽薄膜、來自矽烷(SiH4 )之氧化矽薄膜、來自二乙氧基甲基矽烷及α-萜品烯的碳摻雜氧化矽薄膜,以及碳化矽薄膜。
於一實施例中,在沉積來自八甲基環四矽氧烷(OMCTS)之碳摻雜氧化矽薄膜之前,基材係以約300℃之溫度加熱約2~3分鐘,以降低碳摻雜氧化矽薄膜之總缺陷。結果係顯示數種在CVD沉積過程中所生長之群集型缺陷(已知為葡萄狀或爆米花狀缺陷),其在基材於沉積製程之前而在加載鎖定室中加熱至約100℃以上之時可藉以大幅降低所形成之缺陷。
再者,利用一升高溫度之加載鎖定室亦可降低在沉積膜層上之總缺陷尺寸,而不論先前已存在於基材上之缺陷數目。沉積結果顯示,在一升高溫度下加熱加載鎖定室係可降低大於0.5微米之缺陷的數目。
另外,在具有升高溫度之加載鎖定室中預熱基材亦可降低機械性缺陷,該些缺陷係在基材於PECVD系統中進行處理時所產生的。機械性缺陷之計算可將所觀察到的總缺陷減去已存在之缺陷而得。舉例來說,當加載鎖定室之溫度設定在75℃時,則在基材上會產生200個大於0.12微米之機械性缺陷。機械性缺陷可能是因為腔室主體及連接腔室主體與加載鎖定室之狹縫閥之間的摩擦所致。當加載鎖定室之溫度設定為約300℃時,大於0.12微米之機械性缺陷的平均數目降低至小於10。
電漿預處理
在本發明之一實施例中,電漿預處理係在沉積步驟之前而在PECVD室中針對基材進行。電漿預處理係可利用氦電漿而進行。其他例如氬氣、氮氣、氧氣及氧化亞氮之氣體亦可用於電漿預處理製程。結果顯示,針對待處理之基材的電漿預處理係可減少於之後沉積之薄膜的缺陷數目。由於電漿預處理而可能使缺陷減少之結果係可降低在基材上產生缺陷的成核處。
於一實施例中,電漿預處理之後接著進行抽氣步驟,以在沉積步驟之前將用於電漿預處理之電漿去除。於另一實施例中,用於電漿預處理之電漿可在其後直接使用用於沉積步驟之電漿。
本發明之電漿預處理可伴隨將多種薄膜沉積在基材上而使用,例如例如來自八甲基環四矽氧烷(OMCTS)的碳摻雜氧化矽薄膜、來自三甲基矽烷(TMS)的碳摻雜氧化矽薄膜、沉積自四乙氧基矽烷(TEOS)之氧化矽薄膜、來自矽烷(SiH4 )之氧化矽薄膜、來自矽烷(SiH4 )之氮化矽薄膜、來自二乙氧基甲基矽烷及α-萜品烯的碳摻雜氧化矽薄膜,以及碳化矽薄膜。
實例I
本發明之電漿預處理係針對PECVD沉積製程而進行,其係利用PRODUCERSE雙腔室而沉積來自OMCTS之碳摻雜氧化矽薄膜,其中PRODUCERSE雙腔室包括近似於「第1圖」之PECVD系統100的二處理室。有關PRODUCERSE雙腔室之詳細說明係描述於美國專利第5,855,681號及第6,495,233號,在此將其併入以做為參考。
電漿預處理係在約5托(Torr)之壓力及在350℃之腔室溫度下進行約10秒~約30秒。高頻射頻(HFRF)功率係開啟至約300W以產生電漿,低頻射頻(LFRF)功率則關閉。面板與加熱器座之間的間隔為約450密爾(mils)。下方列示出所使用之處理氣體及流速:氧氣,在各腔室之流速為約900 sccm。
沉積之後的電漿淨化
於本發明之一實施例中,電漿淨化步驟可以在沉積步驟已於PECVD室中進行於基材之後進行。在沉積步驟之過程中,一或多個前驅物及一或多個反應物氣體一般係供應至PECVD室,且同時開啟射頻功率以產生用於沉積之電漿。當沉積步驟完成時,通常會停止供應前驅物。然而,在用於液體前驅物之液體流量計及/或用於氣體前驅物之質流流量計下游之氣體管路中存在有殘留的前驅物。且對腔室進行抽氣通常不足以將殘留之前驅物去除。殘留之前驅物可能會凝結於腔室壁上或基材上而變成微粒污染的來源。
本發明之電漿淨化包括將系統中的任何殘留前驅物耗盡。於一實施例中,電漿淨化之進行係藉由在沉積步驟之後連續地提供射頻功率,並在前驅物停止供應之後調整反應物氣體之流速,藉此可使得節流閥之作動最小化。射頻功率係藉由使反應物氣體與殘留前驅物進行反應而產生一電漿。於一實施例中,沉積步驟與電漿淨化步驟中的PECVD室之間隔、溫度及壓力係維持在實質相同數值。於一實施例中,電漿淨化係進行直到殘留之前驅物不存在為止。電漿淨化步驟之時間係可取決於供應前驅物之氣體管線的長度。於一實施例中,電漿淨化之持續時間為約2秒。
本發明之淨化係可伴隨將多種PECVD薄膜及低k薄膜沉積在基材上而使用,例如來自八甲基環四矽氧烷(OMCTS)的碳摻雜氧化矽薄膜、來自三甲基矽烷(TMS)的碳摻雜氧化矽薄膜、來自四乙氧基矽烷(TEOS)之氧化矽薄膜、來自矽烷(SiH4 )之氧化矽薄膜、來自矽烷(SiH4 )之氮化矽薄膜、來自二乙氧基甲基矽烷及α-萜品烯的碳摻雜氧化矽薄膜,以及碳化矽薄膜。
實例II
本發明之淨化係針對PECVD沉積製程而進行,其係利用PRODUCERSE雙腔室而沉積來自OMCTS之碳摻雜氧化矽薄膜,其中PRODUCERSE雙腔室包括近似於「第1圖」之PECVD系統100的二處理室。PECVD沉積步驟之目的在於沉積碳摻雜氧化矽薄膜,且其厚度為5000埃,介電值為3.0。
沉積步驟係在約5托之壓力及在350℃之腔室溫度下進行約45秒。高頻射頻(HFRF)功率(約13.56 Hz)係開啟至約500W,低頻射頻(LFRF)功率(約300 Hz)則開啟至約125W。面板與加熱器座之間的間隔為約350密爾(mils)。下方列示出所使用之處理氣體及流速:OMCTS,2700 mgm;氧氣,1600 sccm;以及氦氣,1000 sccm。
在上方沉積步驟之後進行之電漿淨化係在約5托之壓力及在350℃之腔室溫度下進行約2秒。高頻射頻(HFRF)功率係開啟至約100W以產生電漿,低頻射頻(LFRF)功率則關閉。面板與加熱器座之間的間隔為約350密爾(mils)。壓力、腔室溫度及間隔仍然與沉積步驟中之條件相同。下方列示出所使用之處理氣體及流速:氧氣,375 sccm;以及氦氣,1125 sccm。
在電漿淨化步驟中,係停止供應前驅物OMCTS,則氧氣和氦氣的流速需增加以維持與沉積步驟相同之總流速,藉此,才可使得節流閥之作動最小化。
電漿淨化步驟係配置以清除殘留的前驅物,並增進系統的微粒表現。應注意在電漿淨化之過程中亦會發生沉積現象,此乃因為反應物與殘留前驅物之間的反應所造成。在實例II中,介電值為3.5且厚度為約100埃之氧化物薄膜係沉積在於沉積步驟中所形成之薄膜之上。介電值的改變係由於前驅物與反應物之比率改變所造成。然而,來自電漿淨化之沉積現象通常不影響形成於基材上之元件,因為在沉積之後通常會進行研磨步驟。研磨步驟可以移除約300~400埃的基材表面層,因此電漿淨化過程中之沉積會被完全移除。
較低之上升速率
於本發明之一實施例中,較低之上升速率係應用以減少PECVD過程中的群集型缺陷。較低之上升速率可應用至前驅物之流速、反應物氣體之流速、射頻功率之功率或其組合至少其中之一者。較低之上升速率可以應用在沉積步驟之起始處及/或沉積步驟與電漿淨化步驟之間的過渡時期。
在沉積來自OMCTS之碳摻雜氧化矽薄膜的過程中,群集型缺陷之形成係與OMCTS及氧氣相關。當OMCTS/氧氣之莫爾比大於約1.56,則會形成群集型缺陷。因此,降低OMCTS/氧氣之比率係有利於減少群集型缺陷。期望之OMCTS/氧氣之莫爾比係介於約0.28~約1.56。
在沉積製程之起始時,前驅物(例如OMCTS)之預設上升速率為約5000 mgm/sec。在此預設上升速率下,前驅物之流速有可能會造成前驅物/反應物之比率超過,因而在沉積過程中形成群集型缺陷。因此,降低上升速率可以提供較具控制性之前驅物/反應物比率,因而使得群集型缺陷之形成降低。再者,反應物氣體之上升速率亦可降低,以提供對於前驅物/反應物比率之較佳控制。
另外,較佳亦可降低沉積製程中所使用之射頻功率的上升速率,特別是在沉積過程終點及/或沉積步驟與電漿沉積之間的過渡時期而停止及降低功率供應之時。當射頻功率供應之上升速率降低時,可避免例如電弧、火花及/或渦流(eddie current)等之不期望現象發生,因而可避免對於形成在基材上之元件的傷害並增加沉積均一性。
實例III
沉積來自OMCTS之碳摻雜氧化矽薄膜的PECVD沉積製程係利用PRODUCERSE雙腔室來進行,而其中PRODUCERSE雙腔室包括近似於「第1圖」之PECVD系統100的二處理室。
所進行之沉積製程的參數係設定在下列範圍內:溫度:約200℃~約550℃壓力:約5托~約8托間隔:約200密爾~約1200密爾HFRF功率:約100W~約1000W LFRF功率:約0W~500W OMCTS流速:約1000 mgm~約5000 mgm氦氣流速:約500 sccm~約5000 sccm氧氣流速:約100 sccm~約1000 sccm
該些參數之上升速率係設定在下列數值:HFRF功率:約100W/s~約500W/s LFRF功率:約50W/s~約200W/s OMCTS流速:約300 mgm/s~約1500 mgm/s氦氣流速:約200 sccm/s~約2000 sccm/s氧氣流速:約50 sccm/s~約500 sccm/s
以較低RF功率進行陳化(seasoning)
在PECVD製程中進行週期性的腔室清洗處理之後,通常會進行腔室之陳化。當PECVD室已清除製程氣體,且清除處理所產生之副產物已被排出腔室外時,則進行陳化步驟以在形成處理區域之腔室的組件上沉積一薄膜,以將殘留之污染物密封於其中,並降低製程中的污染層級。陳化步驟通常根據接續之製程配方而包括將一陳化薄膜塗覆於在腔室中界定處理區域之內表面上。
可利用與在陳化處理之後而於腔室中進行之沉積製程所使用的相同氣體混合物來將陳化薄膜沉積在腔室內表面。在陳化處理之過程中,前驅物氣體、氧化氣體及載氣係流入腔室中,其中射頻源係提供射頻能量以激發前驅物氣體並促使沉積進行。有關陳化之詳細說明係描述於美國專利申請序號第10/816,606號,2004年4月2日申請,2005年10月13日公開為美國專利申請公開第2005/0227499號,專利名稱為「Oxide-like seasoning for dielectric Low K Films(低K介電薄膜之似氧化物陳化)」,在此將其併入以做為參考。
於本發明之一實施例中,具有較低之射頻功率層級的陳化處理係應用以降低沉積薄膜中的群集型缺陷。係顯示陳化薄膜之附著力與陳化薄膜中的碳含量有關。具有較少碳含量之陳化薄膜較具黏著力,因此可獲得較佳之污染控制。陳化薄膜之傅立葉轉換紅外線光譜(Fourier Transform Infrared Spectroscopy;FTIR)顯示在較低RF功率層級下沉積之薄膜係具有較低之碳含量及較高之黏著力。於本發明之一實施例中,在陳化處理之過程中,高頻射頻及低頻射頻功率皆要降低。在另一實施例中,僅有高頻射頻功率降低,低頻射頻功率則維持不變。於另一實施例中,高頻射頻功率降低,低頻射頻功率則關閉。
在以較低RF功率進行之陳化處理中所使用的不同氣體之流速係可經調整以維持與傳統陳化處理相同之沉積速率。此使得可在與傳統陳化處理相同之時間內形成所期望之陳化薄膜,因而可避免微粒的產生。於一實施例中,陳化處理可進行約10秒鐘,陳化速率係維持在約1000埃/分~3000埃/分。
於另一實施例中,在用於陳化處理之氣體混合物中的不同氣體之比率係經調整以獲得由氧化產物所製成之沉積薄膜,以避免碳併入沉積薄膜中。
實例IV:傳統陳化處理
陳化層係沉積在用於PECVD製程之腔室的內表面,該PECVD製程係用以沉積來自OMCTS之碳摻雜氧化矽薄膜。腔室壓力為約5托,腔室溫度為350℃,陳化處理係進行10秒,間隔為約450密爾。並採用下列之處理參數:HFRF,約1000W;LFRF,約150W;OMCTS,1300 sccm;氧氣,900 sccm;氦氣,2500 sccm。
實例V:以較低RF層級進行之陳化處理
陳化層係沉積在用於與實例IV的相同目的之腔室內表面。腔室壓力為約5托,腔室溫度為350℃,陳化處理係進行10秒,間隔為約450密爾。並採用下列之處理參數:HFRF,約500W;LFRF,約150W;OMCTS,900 sccm;氧氣,900 sccm;氦氣,1000 sccm。
陳化薄膜之特性係比較於「表1」。實例係顯示以較低功率層級沉積之陳化薄膜係具有較低之碳含量及較佳之黏著力。
「第4圖」係繪示根據本發明之一實施例的示範性沉積製程300。
於沉積製程300之步驟310中,基材係於一升高溫度下而在加載鎖定室中加熱一段預定時間。在基材上之移動微粒於加熱過程中係被吸附出基材的表面。
於沉積製程300之步驟320中,通常藉由一機械手臂而將基材自PECVD室的加載鎖定室傳輸出。加載鎖定室與PECVD室之間設置有狹縫閥,其係配置以使基材傳輸於加載鎖定室與PECVD室之間。
於沉積製程300之步驟330中,在基材上進行電漿預處理。電漿預處理係配置以減少基材上之成核處。
於沉積製程300之步驟340中,係進行沉積步驟或主要沉積步驟,其係藉由將所需之一或多個前驅物及相應之反應物氣體及載氣流入PECVD室中,並在PECVD室中產生電漿而進行之。於一實施例中,在步驟340之起始及/或終點之處係使一或多個製程參數具有較低之上升速率。
可選擇地,在步驟330及步驟340之間可進行步驟335。於步驟335中,在主要沉積步驟進行之前,PECVD室係進行抽氣以將用於電漿預處理之電漿及/或反應物氣體排出。
於沉積製程300之步驟350中,係進行電漿淨化。電漿淨化係配置以「燒除」殘留的前驅物,並減少在PECVD室中及基材上之前驅物凝結物。於一實施例中,在步驟340至步驟350之間的過渡時期係針對一或多個製程參數採用降低之上升速率。
應注意的是,本發明所提出之缺陷降低方法係可單獨或結合使用之。熟悉該技術領域之人士可利用不同之降低缺陷方法的組合,而在特定之沉積處理中減少缺陷之產生。
惟本發明雖以較佳實施例說明如上,然其並非用以限定本發明,任何熟習此技術人員,在不脫離本發明的精神和範圍內所作的更動與潤飾,仍應屬本發明的技術範疇。
100...系統
102...腔室主體
103...驅動系統
104...腔室蓋
108...氣體分配系統
112...側壁
116...底壁
120...處理區域
122,124...通道
125...抽氣通道
126...柄
127...腔室襯墊
128...加熱器座
129...突出件
130...桿
131...排出口
140...通道
142...噴器頭組件
144...阻擋板
145...入口
146...面板
147...冷卻通道
148...基板
149...出口
150...氣源
161...升舉銷
162...遠端電漿源
163...前驅物源
164...抽氣系統
165...RF源/射頻源
166...入口
167...歧管
168...載氣源
169...電源
172...氣源
200...裝載鎖定室
201...腔室主體
202...腔室空間
203...狹縫閥
204...加熱器組件
205...間隙器
206...穿孔
207...柱
208...升舉銷
209...升舉板
210...孔洞
211...基材
212...抽氣系統
213...頂表面
300...製程
310,320,330,335,340,350...步驟
藉由上方描述則可詳細瞭解本發明之特徵,而簡單摘要於上之針對本發明的特定說明可參照實施例,且部分亦說明於所附圖示中。然而,需注意的是,所附圖示僅繪示本發明之實施例,因此不可認定為限制本發明之範圍,該發明需承認其他等效的實施例。
第1圖,繪示根據本發明之一實施例的PECVD系統之剖面視圖。
第2圖,概要繪示根據本發明之一實施例的加載鎖定室。
第3圖,概要繪示第2圖中所示之加載鎖定室的加熱器組件之一實施例的上視圖。
第4圖,繪示根據本發明之一實施例的的示範性沉積製程。
300...製程
310,320,330,335,340,350...步驟

Claims (20)

  1. 一種用於處理一基材之方法,包括:將該基材放置於一處理室中;以一第一電漿處理該基材,該第一電漿係設置以減少在該基材上已存在之缺陷;以及在以該第一電漿處理該基材之後,施加由至少一前驅物及至少一反應物氣體所產生之一第二電漿,以在該基材上沉積包括矽及碳之一薄膜。
  2. 如申請專利範圍第1項所述之方法,其中該第一電漿係由選自下列各者之至少一反應物氣體所產生:氦氣(He)、氬氣(Ar)、氮氣(N2 )、氧氣(O2 )及氧化亞氮(N2 O)。
  3. 如申請專利範圍第1項所述之方法,其更包括在上述之沉積該薄膜的步驟之後,以一第三電漿淨化該至少一前驅物。
  4. 如申請專利範圍第3項所述之方法,其中上述之淨化該至少一前驅物的步驟包括:調整該至少一反應物氣體之流速以及調整一射頻功率層級,並且同時停止該至少一前驅物之供應。
  5. 如申請專利範圍第4項所述之方法,其中該至少一反應 物氣體之流速係經調整,以在該至少一前驅物停止供應之同時,可使得該處理室之一節流閥的作動最小化。
  6. 如申請專利範圍第1項所述之方法,其中上述之處理該基材及沉積該薄膜之步驟係連續進行,而不將該第一電漿抽離該處理室。
  7. 如申請專利範圍第1項所述之方法,其更包括在上述之將該基材放置於該處理室中之步驟之前,在一升高溫度下而於一加載鎖定室中加熱該基材一充足時間,以移除在該基材之表面上一或多個移動微粒。
  8. 如申請專利範圍第1項所述之方法,其中該薄膜係為選自下列各者之至少一薄膜:來自八甲基環四矽氧烷(OMCTS)的碳摻雜氧化矽薄膜、來自三甲基矽烷(TMS)的碳摻雜氧化矽薄膜、沉積自四乙氧基矽烷(TEOS)之氧化物薄膜、來自矽烷(SiH4 )之氧化物薄膜、來自矽烷(SiH4 )之氮化物薄膜、來自二乙氧基甲基矽烷及α-萜品烯(α-terpinene)的碳摻雜氧化矽薄膜,以及碳化矽薄膜。
  9. 一種用於在一PECVD(電漿輔助化學氣相沉積)室中處理一基材之方法,包括:將該基材放置於該PECVD室中; 提供一第一反應物至該PECVD室,並施加處於一第一層級之一射頻功率,其中該第一反應物係設置以減少在該基材上已存在之缺陷;以及在提供該第一反應物並施加處於該第一層級之該射頻功率之後,提供一第二反應物至該PECVD室,並施加處於一第二層級之該射頻功率,其中該第二反應物係設置以在該基材上沉積一薄膜。
  10. 如申請專利範圍第9項所述之方法,其中該第一反應物包括選自下列各者之至少一反應物氣體:氦氣(He)、氬氣(Ar)、氮氣(N2 )、氧氣(O2 )及氧化亞氮(N2 O)。
  11. 如申請專利範圍第9項所述之方法,其更包括在上述之提供該第二反應物之步驟之前,抽空該PECVD室。
  12. 如申請專利範圍第9項所述之方法,其中上述之提供該第二反應物之步驟係包括以一充分低之上升速率提供該第二反應物以減少群集型缺陷的形成。
  13. 如申請專利範圍第9項所述之方法,其中該第二反應物包括至少一前驅物及至少一反應物氣體。
  14. 如申請專利範圍第13項所述之方法,其更包括在施加 一處於一第三層級之射頻功率的同時,使該至少一反應物氣體之流速增加,並停止該至少一前驅物之供應。
  15. 如申請專利範圍第14項所述之方法,其中該射頻功率係以一受控方式而由該第二層級調整到該第三層級。
  16. 一種用於處理一基材之方法,包括:將該基材放置於一處理室中;利用一第一電漿以對該基材進行預處理,藉以減少在該基材上已存在之缺陷;在對該基材進行預處理之後,利用由一前驅物及一反應物氣體所產生之一第二電漿而在該基材上沉積一薄膜;以及利用由該反應物氣體所產生之一第三電漿來淨化該處理室。
  17. 如申請專利範圍第16項所述之方法,更包括在上述之將該基材放置於該處理室中之步驟之前,於一加載鎖定室中預熱該基材。
  18. 如申請專利範圍第16項所述之方法,其中上述之進行預處理及沉積該薄膜之步驟係連續進行,而不抽空該處理室。
  19. 如申請專利範圍第16項所述之方法,其中上述之沉積該薄膜之步驟包括:在一充分緩慢之第一速率下開始供應該前驅物以減少群集型缺陷的形成;以預定流速供應該前驅物及該反應物氣體;以及在一充分緩慢之第二速率下停止供應該前驅物以減少群集型缺陷的形成。
  20. 如申請專利範圍第19項所述之方法,其中上述之沉積該薄膜之步驟包括:在一充分緩慢速率下調整一射頻功率層級以避免電弧、火花及渦流。
TW096126430A 2006-08-23 2007-07-19 電漿輔助化學氣相沉積薄膜之總缺陷降低方法 TWI391996B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/508,545 US20080050932A1 (en) 2006-08-23 2006-08-23 Overall defect reduction for PECVD films

Publications (2)

Publication Number Publication Date
TW200814157A TW200814157A (en) 2008-03-16
TWI391996B true TWI391996B (zh) 2013-04-01

Family

ID=39107493

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096126430A TWI391996B (zh) 2006-08-23 2007-07-19 電漿輔助化學氣相沉積薄膜之總缺陷降低方法

Country Status (5)

Country Link
US (1) US20080050932A1 (zh)
KR (1) KR20090049074A (zh)
CN (1) CN101506960B (zh)
TW (1) TWI391996B (zh)
WO (1) WO2008024566A2 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
CN101999158A (zh) * 2008-04-12 2011-03-30 应用材料股份有限公司 等离子体处理设备与方法
EP2253735B1 (en) 2009-05-13 2017-11-22 SiO2 Medical Products, Inc. Vessel processing
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
JP5396180B2 (ja) * 2009-07-27 2014-01-22 東京エレクトロン株式会社 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
CN102652186A (zh) * 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
KR20130012671A (ko) * 2011-07-26 2013-02-05 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US10189603B2 (en) 2011-11-11 2019-01-29 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
EP2846755A1 (en) 2012-05-09 2015-03-18 SiO2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
JP6509734B2 (ja) 2012-11-01 2019-05-08 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 皮膜検査方法
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
CA2892294C (en) 2012-11-30 2021-07-27 Sio2 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
KR102167557B1 (ko) 2013-03-11 2020-10-20 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
EP2971227B1 (en) 2013-03-15 2017-11-15 Si02 Medical Products, Inc. Coating method.
JP2016539514A (ja) * 2013-11-04 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化物−ケイ素スタックのための付着性の改善
CN103715069B (zh) * 2013-12-02 2016-09-21 中国电子科技集团公司第五十五研究所 一种减少碳化硅外延薄膜中缺陷的方法
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
CN104020613B (zh) * 2014-06-30 2017-01-04 上海天马微电子有限公司 一种配向方法及液晶显示面板
CA2995225C (en) 2015-08-18 2023-08-29 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US9899210B2 (en) * 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
CN114807893A (zh) * 2021-01-19 2022-07-29 圆益Ips股份有限公司 薄膜形成方法
CN114196945A (zh) * 2021-12-16 2022-03-18 江苏鲁汶仪器有限公司 减少pecvd沉积薄膜过程中产生颗粒的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
TWI223331B (en) * 2002-06-14 2004-11-01 Trikon Technologies Ltd Dielectric film
US20060046479A1 (en) * 2004-04-19 2006-03-02 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
TWI251870B (en) * 2003-11-06 2006-03-21 Tokyo Electron Ltd Method for depositing materials on a substrate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6202656B1 (en) * 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
TWI223331B (en) * 2002-06-14 2004-11-01 Trikon Technologies Ltd Dielectric film
TWI251870B (en) * 2003-11-06 2006-03-21 Tokyo Electron Ltd Method for depositing materials on a substrate
US20060046479A1 (en) * 2004-04-19 2006-03-02 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials

Also Published As

Publication number Publication date
TW200814157A (en) 2008-03-16
WO2008024566B1 (en) 2008-05-15
CN101506960A (zh) 2009-08-12
CN101506960B (zh) 2011-08-03
WO2008024566A2 (en) 2008-02-28
KR20090049074A (ko) 2009-05-15
US20080050932A1 (en) 2008-02-28
WO2008024566A3 (en) 2008-04-10

Similar Documents

Publication Publication Date Title
TWI391996B (zh) 電漿輔助化學氣相沉積薄膜之總缺陷降低方法
US7033937B2 (en) Apparatus and method for use in manufacturing a semiconductor device
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
US9157151B2 (en) Elimination of first wafer effect for PECVD films
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US6843858B2 (en) Method of cleaning a semiconductor processing chamber
US7175713B2 (en) Apparatus for cyclical deposition of thin films
US20090035946A1 (en) In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR102407734B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
KR100914354B1 (ko) Pecvd막에 대한 1차 웨이퍼 효과 제거
TW201602392A (zh) 氣相沉積膜中用以減輕瑕疵狀態之方法及設備
US20120220116A1 (en) Dry Chemical Cleaning For Semiconductor Processing
JP2010267925A (ja) 半導体装置の製造方法及び基板処理装置
JP2002176047A (ja) プラズマ誘発損傷を減少させる方法
TW201622031A (zh) 以自由基協助的介電薄膜處理
US20140242810A1 (en) Substrate processing apparatus and method of supplying and exhausting gas
JP2004047660A (ja) 成膜装置及び成膜方法
CN107408494B (zh) 缺陷平面化
JP2004296820A (ja) 半導体装置の製造方法及び基板処理装置
JP2004296887A (ja) 半導体装置の製造方法及び基板処理装置
CN113243039A (zh) 生长掺杂iv族材料的方法
TW201615879A (zh) 高溫二氧化矽原子層沉積技術
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室
JP2007227804A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees