JP2002176047A - プラズマ誘発損傷を減少させる方法 - Google Patents

プラズマ誘発損傷を減少させる方法

Info

Publication number
JP2002176047A
JP2002176047A JP2001294403A JP2001294403A JP2002176047A JP 2002176047 A JP2002176047 A JP 2002176047A JP 2001294403 A JP2001294403 A JP 2001294403A JP 2001294403 A JP2001294403 A JP 2001294403A JP 2002176047 A JP2002176047 A JP 2002176047A
Authority
JP
Japan
Prior art keywords
power
plasma
plasma source
reducing
power level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001294403A
Other languages
English (en)
Other versions
JP5084080B2 (ja
JP2002176047A5 (ja
Inventor
Francimar Campana-Schmitt
カンパーナ−シュミット フランシマー
Carsten Schimanke
シマンケ カルステン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002176047A publication Critical patent/JP2002176047A/ja
Publication of JP2002176047A5 publication Critical patent/JP2002176047A5/ja
Application granted granted Critical
Publication of JP5084080B2 publication Critical patent/JP5084080B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 基板におけるプラズマ誘発損傷を減少させる
方法を提供する。 【解決手段】 基板216処理のためのプラズマを生成
させるのに用いられるプラズマ源電力を堆積後に逓減さ
せる。

Description

【発明の詳細な説明】
【0001】
【開示の背景】
【発明の分野】本発明は、基板におけるプラズマ誘発損
傷を減少させる方法及び装置に関する。
【0002】
【発明の背景】集積回路の製造においては、種々の材料
層の堆積又はエッチングにプラズマプロセスがしばしば
用いられている。プラズマ処理は、熱処理より多くの利
点を与える。例えば、プラズマ強化化学気相成長(PE
CVD)は、類似の熱プロセスに必要とされる温度より
低い温度で堆積を達成させることができる。これは、限
られた厳密な熱が必要とされるプロセス、例えば、超大
規模集積回路又は極超大規模集積回路(VLSI又はU
LSI)デバイス製造に有利である。
【0003】デバイス損傷が堆積プロセスやエッチング
プロセスを含むプラズマ処理の結果として起こるもので
あることは当該技術において既知である。典型的には、
デバイス損傷の感受性又は程度は、デバイス製造の段階
や個々のデバイス設計に左右される。例えば、アンテナ
比(例えば、金属相互接続領域とゲート領域との比)の
比較的大きい基板は、充電効果が高いために、アンテナ
比の小さいものと比べてゲート酸化物損傷を受けやす
い。絶縁層が堆積している基板もまた、表面電荷の蓄積
や電位勾配の蓄積のために、損傷を受けやすい。プラズ
マ非均一性のような他のプラズマ関連作用によっても、
電界勾配を生じることがあり、デバイス損傷をまねくこ
とがある。従って、基板においてプラズマ誘発損傷を減
少させる方法及び装置が継続して求められている。
【0004】
【発明の概要】本発明の実施例は、一般的には、プラズ
マ生成に用いられるプラズマ源電力の堆積後ランプダウ
ンを行うことによりプラズマ誘発損傷を減少させる方法
及び装置を提供する。プラズマ源電力のランプダウン
は、電力を1つ以上の中間レベルまで複数のステップ
で、又は連続方式で下げることにより達成させることが
できる。
【0005】本発明の教示は、次の詳細な説明を添付図
面と共に考慮することにより容易に理解され得る。
【0006】理解を容易にするために、可能な場合には
図面に共通する同じ要素を示すために同じ符号を用い
た。
【0007】
【詳細な説明】
【概要】本発明は、基板上のプラズマ誘発損傷を減少さ
せるプラズマ処理の方法を提供する。一般に、1つ以上
の異なる作用がプラズマ誘発損傷の原因となることがあ
る。例えば、デバイス損傷は、プラズマ源電力の突然の
変化又は急激な変化から生じる電界の勾配が大きいこと
により起こるものであり、プラズマ処理中に基板上に蓄
積した電荷に起因することもある。本発明の実施例によ
れば、プラズマ誘発損傷は、プラズマ処理後の基板の環
境の漸次変化を与える堆積後ステップの異なる組合わ
せ、例えば、プロセスガスフローを変えることによって
プラズマ源電力の漸次停止又は表面電荷の漸次消散によ
って減少する。
【0008】図1は、本発明の実施例を組込んでいるプ
ラズマ処理の方法を示しているプロセス流れ図である。
ステップ101においては、基板がプラズマ処理のチャ
ンバ内に配置される。ステップ103に示されるよう
に、ガスフローやチャンバ圧のような種々のプロセスパ
ラメータの安定化を可能にする一つ以上の安定化ステッ
プを行うことができる。ステップ105においては、プ
ラズマ源電力を電極に供給することによりプラズマが生
成され、基板がプラズマ処理、例えば、堆積又はエッチ
ングに供される。
【0009】堆積ステップ105後、一つ以上のプロセ
スパラメータ、例えば、プラズマ源電力又はプロセスガ
スフローは、ステップ107に示されるように、基板の
環境の相対的漸次変化を生じる方法で停止する。
【0010】本発明の態様においては、方法は、プラズ
マプロセスの完了後にプラズマ電力を逓減させるステッ
プを含んでいる。即ち、従来のプロセスで行われている
ように突然にかつ完全に電力を停止させるのと反対に、
プラズマ電力を時間の関数として1つ以上の中間レベル
まで低下させる。ステップ109に示されるように、電
力ランプダウン手順は不連続のステップで行うことがで
きる。即ち、プラズマ電力は、時間の関数としてある時
間の間、又は連続方式でいくつかの中間レベルに設定す
る。電力ランプダウンによって、基板の周りのプラズマ
環境が漸次変化し、プラズマ誘発作用に基づく基板損傷
をできるだけ少なくするのに役立つ。
【0011】ステップ111に示される本発明の他の態
様においては、基板表面上に蓄積することができる電荷
は、例えば、プロセスガスフローを変えることによって
漸次消散させることができる。従って、ステップ109
はそれだけで行うことができるが、他の実施例において
は、ステップ111と共に相互に連続して又は同時に行
うこともできる。ステップ111においては、例えば、
電荷蓄積に起因することがある一つ以上のプロセスガス
を停止することによりプロセスガスフローを変化させる
ことができる。本発明のこれらの2つの態様は、同時に
又は相互に連続して行うことができ、デバイス損傷に対
する感受性、デバイス設計又は個々の製造段階によって
個々のパラメータ選定は異なってもよい。最後に、ステ
ップ113に示されるように、プラズマ源電力とプロセ
スガスフロー(図示せず)の完全な停止後、基板とチャ
ンバはポンプダウンに供され、基板がチャンバから取り
出される。
【0012】本発明において具体化された理論は、一般
的には、堆積やエッチングを含む種々のプラズマプロセ
スに応用でき、様々なプラズマ処理システムで行うこと
ができる。実施例は、説明のために酸化物堆積によって
記載されている。
【0013】
【装置】図2は、本発明の実施例を行うのに適した化学
気相成長(CVD)チャンバ210の断面略図である。
そのチャンバ210の一例は、CENTURA(登録商標)プラ
ットホームと共に又はPRODUCERTMシステムに(デュアル
チャンバと共に)用いられるDxZTMであり、いずれもカ
リフォルニア州サンタクララのApplied Materials, In
c.より入手できる。膜堆積も堆積した膜のプラズマ処理
もDxZ CVDチャンバ内で行われ得る。
【0014】プロセスチャンバ210は、基板支持体2
12上に載せられる基板216にマニホールド211内
の貫通した穴(図示せず)を通ってプロセスガスを分散
させる、典型的には『シャワヘッド』と呼ばれるガス分
配マニホールド211を有する。ガスフローコントロー
ラ219は、典型的には、ガス分配マニホールド211
を通るプロセスチャンバ210への異なるプロセスガス
の流量を制御及び調節するために用いられる。他の流量
制御成分としては、液体前駆物質が用いられる場合に
は、液体フロー注入バルブと液体フローコントローラ
(図示せず)を含めることができる。基板支持体212
は、抵抗加熱され、支持体ステム213に取り付けられ
ているので、基板支持体212と基板216は、下の方
のローディング/オフローディング位置と上の方のガス
分配マニホールド211に隣接した処理位置の間のリフ
トモータ214で制御可能に移動し得る。基板支持体2
12と基板216が処理位置にある場合には絶縁リング
217で囲まれている。
【0015】処理中、プロセスガスは、基板表面の半径
方向に一様に配分される。ガスは、真空ポンプシステム
232によってポート224を通って排出され、ガスス
ループット又はチャンバ圧はスロットルバルブ230で
調節される。プラズマは、高周波(RF)エネルギーを
RF電源225から電極として働くガス分配マニホール
ド211へ加えることにより、1種以上のプロセスガス
又はガス混合気から生成される。基板216がプラズマ
に曝露されるとともに反応性ガスが供給されたときに膜
堆積が起こる。基板支持体212とチャンバ壁は、典型
的には接地されている。RF電源225は、チャンバ2
10へ導入されるガスの分解を高めるために単一又は混
合周波数RF信号をガス分配マニホールド211に供給
し得る。単一周波数RF信号を、例えば、約350 KHz〜
約60 MHzで用いる場合、約1〜約200 Wの電力を、電極と
して働くガス分配マニホールド211に加え得る。
【0016】システムコントローラ234は、電源、リ
フトモータ、ガス注入用フローコントローラ、真空ポン
プ、又は他の付随するチャンバ及び/又は処理機能のよ
うな種々の成分の機能を制御する。システムコントロー
ラ234は、好適実施例においてはハードディスクドラ
イブであり、アナログ及びディジタル入力/出力ボー
ド、インターフェースボード、及びステッパモータコン
トローラボードが含まれ得る、メモリ238に記憶され
たシステム制御ソフトウェアを実行する。光及び/又は
磁気センサは、一般的には、移動式メカニカルアセンブ
リの位置を移動させたり決めるために用いられる。その
ようなCVDプロセスチャンバの一例は、Wangらに発行
され、本発明の譲受人であるApplied Materials, Inc.
に譲渡された『CVD/PECVDサーマルリアクタ及
び二酸化ケイ素の熱化学気相成長のための使用及びイン
サイチュ多段平坦化プロセス』と称する米国特許第5,00
0,113号に記載されている。この特許の開示内容は本明
細書に援用されている。
【0017】上記のCVDシステムは、主として説明の
ためであり、他のプラズマ源を含む他のプラズマ装置も
本発明の実施例を行うために用いることができる。
【0018】
【プロセス】説明のために、本発明の実施例をプラズマ
酸化物堆積プロセスについて述べる。実施例において
は、酸化物堆積のための前駆物質としてテトラエトキシ
シラン(TEOS)を用いる。他の前駆物質、例えば、
シラン、オルガノシラン(メチルシラン、ジメチルシラ
ン又はトリメチルシラン等)又はテトラメチルシクロテ
トラシロキサン(TMCTS)も、酸化物堆積のため
の、酸素含有ガス、例えば、亜酸化窒素(N2O)、酸
素(O2)又はオゾン(O3)との種々の反応において使
用することができる。本発明が、一般的には、デバイス
製造の種々の段階で絶縁層、導電層又は半導体層を含む
他の材料の堆積といった他の多くのプラズマプロセスに
応用できることは更に理解されよう。
【0019】図3a-bは、プラズマTEOS堆積プロ
セスを受ける基板を示している部分断面図である。例え
ば、プラズマTEOS堆積は、ゲートと第1レベル金属
間に誘電体層を形成するために、又はインターレベル誘
電体として集積回路製造の異なる段階に使用することが
できる。図3aは、基板300がTEOSを含むプロセ
スガス組成物から生成したプラズマ310に曝露されて
いる図である。基板300は、一般的には、集積回路デ
バイスの製造中に形成された一つ以上の材料層(導電材
料、絶縁材料又は半導体材料)を含んでいる。例えば、
図3aは、絶縁層304上に形成された形状306、例
えば、金属ラインを含み、下地層302まで伸びている
開口305を充填している基板300を示している図で
ある。金属の形状306は、例えば、アルミニウム(A
l)又は他の適切な金属を含むことができ、開口305
はコンタクト又はバイアであってもよい。下地層302
は、典型的には、導電材料又は半導体材料、例えば、種
々の金属又はケイ素、又はその化合物を含んでいる。プ
ラズマ310は、典型的には、特にプロセスガスの流
量、電極に供給されるRF電力、基板の温度、又はチャ
ンバ内の圧力のような様々なプロセスパラメータを特徴
としている。プラズマTEOS酸化物堆積に適した具体
的なプロセスパラメータを表1に示す。
【表1】
【0020】表1のパラメータを用いた酸化物堆積は、
図2に示されるようなDxZチャンバ、又は他の適切な堆
積チャンバ内で行われ得る。本明細書に開示される個々
のパラメータは主として説明のためであり、他の好まし
い動作パラメータ及び/又は範囲が、異なるチャンバ構
造や処理要求に従って調整可能であることは理解されよ
う。
【0021】プロセスガス組成物は、典型的には、TE
OS又は他の適切な酸化物前駆物質を含むガス混合気で
あり、1種以上のキャリヤガス又は希釈ガスを含有可能
である。TEOSは室温で液体であるので、高温まで加
熱される液体注入弁がTEOS蒸気をガスマニホールド
へ導入するために用いられる。次に、TEOS蒸気がヘ
リウム(He)のようなキャリヤガスと混合され、プロ
セスチャンバに導入される。例えば、約100℃の注入弁
温度においては、約1000 mg/minのTEOS流量をチャ
ンバ内に導入することができる。一般に、約500〜約400
0 mg/minのTEOS流量を用いることができ、高い流量
を得るためには高い注入温度が必要である。キャリヤガ
ス(He)は、流量約500〜約6000 sccm、好ましくは約1
000 sccmで供給される。
【0022】本実施例において、プロセスガス混合気
は、酸化物堆積用TEOSと反応させるために酸素含有
ガスのような反応性ガスを更に含んでいる。例えば、酸
素含有ガスは酸素(O2)であってもよい。O2流量は、
好ましくは約1000 sccmであり、約500〜約6000 sccmの
範囲を用いることができる。全圧が、典型的には約3〜
約20 Torr、好ましくは約8 Torrで維持される。プラズ
マ310は、約400〜約1500 W、好ましくは約950 WのR
F電力を、ガスマニホールドに約350〜約450℃、例え
ば、約400℃のヒータ温度で加えることにより生成され
る。一般的に、熱の拘束を考慮するためには低いプロセ
ス温度が通常は好ましい。図3bに示されるように、プ
ラズマTEOSレシピを用いて基板300上に酸化物層
320を堆積できる。
【0023】プラズマTEOS堆積は、典型的には、チ
ャンバのコントローラのメモリに記憶されたプロセスレ
シピを実行することにより行われる。プロセスレシピ
は、通常は多段順序を含み、特に、種々のプロセスパラ
メータの安定化に用いられる予備堆積ステップと、一つ
以上の堆積ステップと、膜処理、パージング又はポンピ
ングのための堆積後ステップとを含むことができる。
【0024】本発明の実施例によれば、プロセスレシピ
での酸化物堆積ステップが完了したときに、RFプラズ
マ電力は、従来のプロセスで通常実施されるRF電力の
突然の停止とは対照的に、漸次方式で逓減される。表2
は、本発明の実施例のプロセス順序を含んでいるレシピ
を示すものである。
【表2】
【0025】酸化物堆積の前に、プロセスガスフローと
チャンバ圧を設定し、安定化させる。例えば、ステップ
1でO2とHeガスフローを約1000 sccmで安定化した
後、ステップ2で、約1000 mg/minのTEOS流量を加
え、チャンバ圧を約8 Torrに安定化させる。ステップ3
で、プロセスガス混合気から約950 WのRF電力でプラ
ズマを生成させる。本実例においては、約7500オングス
トローム/分より速い速度で酸化物層が基板上に堆積さ
れ得る。
【0026】酸化物堆積が完了したとき、ランプダウン
ステップ4でRF電力を低下させるが、基板(例えば、
ウエハ)は基板支持体上のままである。実施例において
は、RF電力をステップ4のプラズマ堆積レベルの約1
/3のレベル、例えば、約300Wまで下げ、その間にチャ
ンバへのTEOS流量を停止する。O2とHeガスフロー
及びチャンバ圧を堆積ステップとほぼ同じレベルに維持
する。ヒータ温度はプロセスレシピ全体で約400℃に保
たれるが、ウエハ温度がわずかに下がってしまうこと
は、例えば、プラズマ加熱の低下によりあり得る。この
状態によって、RF電力は弱いプラズマを十分維持す
る。実施例においては、RF電力ランプダウンステップ
は、次のポンプダウンステップ5の前に約10秒間続けら
れる。
【0027】個々の応用によっては、ランプダウンステ
ップの時間持続と中間RF電力レベルを適切になるよう
に調整することができる。例えば、中間RF電力レベル
は、酸化物堆積に用いた電力の約1/2、又は1/2と1
/4の間に維持することができる。ランプダウンステッ
プ中、個々の圧力とガスフロー条件下でプラズマを維持
するのに十分高い中間レベルにRF電力を維持すること
が望ましいと思われる。例えば、O2とHeの混合物(T
EOS流量を含まない)から生成されたプラズマは、酸
化物層の堆積後処理又はアニーリングに効果的であり、
基板の欠陥減少をもたらすことができる。更に、他の時
間持続又は間隔をランプダウンステップに用いることも
できる。例えば、持続が、望ましくないウエハ損傷を避
けるか或いはできるだけ少なくするのに効果的である限
り、プロセススループットを改善するのには短い時間の
方が望ましい。典型的には、約5〜約30秒間の持続が、
プロセススループットに悪影響を及ぼさずに、プラズマ
誘発損傷が比較的無い基板を供するのに十分な時間であ
る。
【0028】ランプダウンステップ後、残存しているす
べてのプロセスガスフローを停止し、RF電力をポンプ
ダウンステップ5でゼロに下げる。ポンプダウン中、ポ
ンプへのスロットルバルブを全開にし、ガスマニホール
ド内のガスラインすべてから残留プロセスガスを排気す
る。次に、酸化物層が堆積した基板を、他の基板を導入
する前にチャンバから取り出す。
【0029】他の実施例においては、複数のランプダウ
ンステップを設けることにより酸化物堆積後にRF電力
を停止し、その間にRF電力は種々の中間レベルまで下
げられる。一例においては、RF電力停止は、8つの連
続ランプダウンステップ、例えば、それぞれ約800、70
0、600、500、400、300、200及び100 Vの中間RF電力
レベルを経て達成することができる。これらの不連続の
ランプダウンステップのそれぞれの間、O2とHeガスフ
ローはチャンバ圧とヒータ温度と共に堆積ステップ中と
ほとんど同じ設定で維持され、RF電力はある時間間隔
又は持続の間、各中間レベルで維持される。表2のレシ
ピと同様に、TEOSフローは電力ランプダウンの開始
と同時に起こる第1ランプダウンステップで停止する。
本実施例において、不連続のランプダウンステップの各
々は、約0.5秒続けられるが、他の時間間隔、例えば、
約0.1〜約30秒、又は好ましくは約0.1〜約10秒を用いる
こともできる。
【0030】一般に、各ステップで用いられるランプダ
ウンステップの数、時間間隔、及び中間電力レベルの異
なった組み合わせを、本発明を実施するのに用いること
ができる。例えば、電力レベルは連続ステップでほぼ等
間隔で低下させることができ、各連続ステップで約50%
だけ低下させることもできる。等間隔でない時間を含む
他の組み合わせも可能である。RF電力レベルの低下が
大きい場合、各ステップの時間間隔は長い方が好ましい
ことがあり、一方、RF電力レベルの低下が小さい場
合、短い時間間隔でも十分な場合がある。個々の電力ラ
ンプダウン順序によっては、時間間隔は、約0.1〜約30
秒、又は約0.1〜約10秒の範囲にあってもよい。特にデ
バイス損傷の感受性やプロセススループットのような種
々の要因は、これらのパラメータの選択に適切に考慮さ
れる。
【0031】他の実施例においては、RF電力ランプダ
ウン手順は、連続方式で行うことができる。不連続のラ
ンプダウンステップを用いた前実施例と異なり、連続ラ
ンプダウン手順は、かなりの時間間隔又は持続の間、中
間レベルで電力を維持しないで動作電力レベルからゼロ
にRF電力を低下させることを含んでいる。例えば、プ
ロセスレシピでの電力停止ステップの実行時に、所望の
時間持続の範囲で、例えば、約5〜約30秒で、又は約5〜
約10秒で、一定のランプダウン速度でRF電力がゼロま
で下がるようにソフトウエアルーチンをプレプログラム
することができる。
【0032】本発明の実施例を用いて製造したデバイス
は、従来のレシピを用いて処理されたものと比べて表面
電荷の減少がかなり改善されている。例えば、シリコン
上に堆積したTEOS酸化物層が約1000オングストロー
ムのウエハについて表面電荷分布を測定する。従来のレ
シピを用いて処理されたウエハは、約+0.1 Vの最低表
面電位と約+35 Vの最大表面電位を示し、ウエハの電位
差が約35 Vになる。一般に、電荷誘発損傷を避けるため
には約2 V未満の電位が望ましい。本発明の実施例に従
って処理されたウエハは、表面電位がかなり改善され、
例えば、約-6 Vの最低電位と約2 Vの最高電位を示し、
電位差が約8 Vになる。
【0033】上記実施例においては、中間ランプダウン
ステップを経たRF電力停止は、TEOSフローの停止
と同時に行われる(例えば、同じレシピステップの中
で)。しかしながら、RF電力停止とTEOS停止手順
の異なる組合わせ又は順序を組込んでいる他の変更も可
能である。例えば、上記RF電力ランプダウン手順を実
行する前に、O2及び/又はHeガスフロー及び圧力を維
持しつつ別個の堆積後ステップで少なくともTEOSフ
ローを停止させることにより基板損傷を減少させること
ができる。その停止順序の実例を表3に示す。ここで、
TEOSフローは、RF電力を堆積電力レベルに保ちつ
つステップ4で停止している。次に、RF電力をステッ
プ5で中間レベル、例えば、約300 Wまで逓減させる。
典型的には、TEOS停止ステップとRF電力ランプダ
ウンステップの時間持続は、表2のランプダウンステッ
プで用いたものとほぼ同じかそれ以下であってもよい。
また、時間持続の選択は、プロセススループットをほと
んど妥協させずに有効な損傷減少を考慮することにしば
しば基づいている。
【表3】
【0034】電力ランプダウン手順の開始後、例えば、
多回ランプダウンステップ順序の中の2回のランプダウ
ンステップの間、又は連続RFランプダウン中にTEO
S停止ステップを行うことも可能である。
【0035】ガスフロー処理が堆積した酸化物層上の水
素(例えば、TEOSから生じる)の存在によって高めら
れる傾向がある表面電荷の漸次消散を可能にすることか
ら、TEOS不在下のO2及び/又はHeガスフローが基
板損傷をできるだけ少なくするのに効果的であることが
できると考えられる。従って、O2及び/又はHeで処理
すること及びTEOSフローを停止させることにより電
力ランプダウンと共にプラズマ誘発損傷をできるだけ少
なくすることができ、避けることもできる。また、異な
るガス組成、例えば、アルゴン(Ar)、窒素(N2)、
2、He又はその種々の組合わせ、又は水素の除去を援
助するもの又は電荷消散を促進させるものをこの堆積後
処理に用いることも可能である。しかしながら、一般的
には、堆積ステップと同じように処理ガス組成を維持す
ることが好ましい。
【0036】本発明の教示を組込んでいるいくつかの好
適実施例を示し、詳述してきたが、当業者はこれらの教
示を組込んでいる他の多くの種々の実施例を容易に講じ
ることができる。例えば、個々のプロセスパラメータと
チャンバが説明のために本明細書に挙げられたことは理
解されよう。そのようなものとして、プラズマ電力ラン
プダウン手順に用いられる中間電力レベル及び時間間
隔、並びに他のプロセスパラメータは、個々の応用に必
要なもの及び/又はチャンバの構造に従って調整するこ
とができる。
【図面の簡単な説明】
【図1】本発明の実施例を組込んでいる方法を示すプロ
セス流れ図である。
【図2】本発明の実施例を行うのに適した装置の略図で
ある。
【図3A】プラズマ処理を受けている基板を示している
部分断面略図である。
【図3B】プラズマ処理を受けた基板を示している部分
断面略図である。
【符号の説明】
101、103、105、107、109、111、1
13…ステップ、210…CVDチャンバ、211…マ
ニホールド、212…基板支持体、214…リフトモー
タ、216…基板、217…絶縁リング、219…ガス
フローコントローラ、224…ポート、230…スロッ
トルバルブ、225…RF電源、234…システムコン
トローラ、238…メモリ、300…基板、302…下
地層、304…絶縁層、305…開口、306…金属の
形状、310…プラズマ、320…酸化物層。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 フランシマー カンパーナ−シュミット アメリカ合衆国, カリフォルニア州, ミルピタス, フォンテインブルー アヴ ェニュー 1271 (72)発明者 カルステン シマンケ オランダ, ヴェーツェンホフ 6703, ベ イーメゲン 6536 Fターム(参考) 4K030 AA06 AA09 AA14 BA44 FA03 JA11 JA16 LA15 5F045 AB32 AC09 AC11 AC17 AD08 AE23 BB16 CB05 EF05 EH14 EH20 5F058 BA20 BC02 BF07 BF25 BF29 BF37 BF39 BF80 BJ02

Claims (33)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ処理方法であって: (a)基板をチャンバ内に配置するステップと; (b)一つ以上のプロセスガスを該チャンバ内に流入さ
    せるステップと; (c)プラズマ源電力を第1電力レベルで加えることに
    より一つ以上の該プロセスガスからプラズマを生成する
    ステップと; (d)該基板上に材料層を堆積させるステップと; (e)該プラズマ源電力を該第1電力レベル以下に逓減
    するステップと、を含む、前記方法。
  2. 【請求項2】 更に (f)該プラズマ源電力をゼロに下げるステップ、を含
    んでいる、請求項1記載の方法。
  3. 【請求項3】 該プラズマ源電力が、ステップ(e)に
    おいて、時間間隔の間、中間電力レベルで維持されてい
    る、請求項1記載の方法。
  4. 【請求項4】 該中間電力レベルが該第1電力レベルの
    約1/2に等しい、請求項3記載の方法。
  5. 【請求項5】 該中間電力レベルが該第1電力レベルの
    約1/2と約1/4の間である、請求項3記載の方法。
  6. 【請求項6】 該中間電力レベルがプラズマの生成を維
    持するのに十分に高いレベルである、請求項3記載の方
    法。
  7. 【請求項7】 該プラズマ源電力を逓減させる該ステッ
    プが、該プラズマ源電力を1つ以上の中間電力レベルま
    で下げるステップを含み、1つ以上の該中間電力レベル
    のそれぞれが時間間隔の間維持されている、請求項1記
    載の方法。
  8. 【請求項8】 1つ以上の該中間電力レベルのそれぞれ
    の該時間間隔が約0.1〜約30秒である、請求項7記載の
    方法。
  9. 【請求項9】 該プラズマ源電力を逓減させる該ステッ
    プが、該プラズマ源電力を該第1電力レベルから連続方
    式で低下させるステップを含んでいる、請求項1記載の
    方法。
  10. 【請求項10】 該プラズマ源電力を逓減させる該ステ
    ップが、一つ以上の該プロセスガスから少なくとも一つ
    のガスフローを停止させるステップと同時に行われる、
    請求項1記載の方法。
  11. 【請求項11】 少なくとも一つの該ガスフローが、堆
    積される該材料用の前駆ガスを含んでいる、請求項10
    記載の方法。
  12. 【請求項12】 堆積した該材料が酸化物である、請求
    項11記載の方法。
  13. 【請求項13】 該前駆ガスがテトラエトキシシラン及
    びテトラメチルシクロテトラシロキサンの群より選ばれ
    る、請求項12記載の方法。
  14. 【請求項14】 一つ以上の該プロセスガスが酸素と不
    活性ガスを更に含んでいる、請求項13記載の方法。
  15. 【請求項15】 該プラズマ源電力を逓減させる該ステ
    ップが、一つ以上の該プロセスガスから少なくとも一つ
    のガスフローを停止させるステップと連続して行われ
    る、請求項1記載の方法。
  16. 【請求項16】 少なくとも一つの該ガスフローが、堆
    積される該材料用の前駆ガスを含んでいる、請求項15
    記載の方法。
  17. 【請求項17】 堆積した該材料が酸化物である、請求
    項16記載の方法。
  18. 【請求項18】 該前駆ガスがテトラエトキシシランと
    テトラメチルシクロテトラシロキサンの群より選ばれ
    る、請求項17記載の方法。
  19. 【請求項19】 一つ以上の該プロセスガスが酸素と不
    活性ガスを更に含んでいる、請求項18記載の方法。
  20. 【請求項20】 酸化物堆積方法であって: (a)基板をチャンバ内に配置するステップと; (b)該チャンバ内に一つ以上のプロセスガスを供給す
    るステップと; (c)プラズマ源電力を第1電力レベルで加えることに
    より一つ以上の該プロセスガスからプラズマを生成する
    ステップと; (d)該基板を該プラズマに曝露することにより該基板
    上に酸化物層を堆積させるステップと; (e)該プラズマ源電力を該第1電力レベル以下に逓減
    するステップと; (f)該プラズマ源電力をゼロに下げるステップと、を
    含む、前記方法。
  21. 【請求項21】 該プラズマ源電力が、ステップ(e)
    において、約0.1〜約30秒の時間間隔の間、中間電力レ
    ベルで維持されている、請求項20記載の方法。
  22. 【請求項22】 該中間電力レベルが該第1電力レベル
    の約1/2以下である、請求項20記載の方法。
  23. 【請求項23】 該中間電力レベルがプラズマの生成を
    維持するのに十分に高いレベルである、請求項20記載
    の方法。
  24. 【請求項24】 該プラズマ源電力を逓減する該ステッ
    プが、該第1電力レベルより低いプラズマ源電力を1つ
    以上の中間電力レベルまで低下させるステップを含み、
    1つ以上の該中間電力レベルの各々が時間間隔の間維持
    されている、請求項20記載の方法。
  25. 【請求項25】 1つ以上の該中間電力レベルのそれぞ
    れの該時間間隔が約0.1〜約30秒である、請求項24記
    載の方法。
  26. 【請求項26】 該プラズマ源電力を逓減する該ステッ
    プが、該プラズマ源電力を該第1電力レベルから連続方
    式で低下させるステップを含む、請求項20記載の方
    法。
  27. 【請求項27】 該プラズマ源電力を逓減する該ステッ
    プが、一つ以上の該プロセスガスから少なくとも一つの
    ガスフローを停止させるステップと同時に行われる、請
    求項20記載の方法。
  28. 【請求項28】 該プラズマ源電力を逓減する該ステッ
    プが、一つ以上の該プロセスガスから少なくとも一つの
    ガスフローを停止させるステップと連続して行われる、
    請求項20記載の方法。
  29. 【請求項29】 一つ以上の該プロセスガスがテトラエ
    トキシシラン及びテトラメチルシクロテトラシロキサン
    の群より選ばれたガスを含んでいる、請求項20記載の
    方法。
  30. 【請求項30】 一つ以上の該プロセスガスが酸素含有
    ガスと不活性ガスを更に含んでいる、請求項29記載の
    方法。
  31. 【請求項31】 酸化物堆積方法であって: (a)基板をチャンバ内に配置するステップと; (b)テトラエトキシシランと、酸素と、ヘリウムとを
    含むプロセスガス混合気を該チャンバ内に流入させるス
    テップと; (c)高周波(RF)信号を第1電力レベルで加えるこ
    とにより該プロセスガス混合気から第1プラズマを生成
    するステップと; (d)該基板を該第1プラズマに曝露することにより該
    基板上に酸化物層を堆積させるステップと; (e)該RF信号を該第1電力レベル以下に逓減するス
    テップと; (f)該RF信号をゼロに下げるステップと、を含む、
    前記方法。
  32. 【請求項32】 該RF信号を逓減するステップが、該
    RF信号を1つ以上の中間電力レベルまで低下させるス
    テップを含み、1つ以上の該中間電力レベルのそれぞれ
    が約0.1〜約30秒の時間間隔の間維持されている、請求
    項31記載の方法。
  33. 【請求項33】 該RF信号を逓減するステップが、該
    RF信号を連続方式で低下させるステップを含んでい
    る、請求項31記載の方法。
JP2001294403A 2000-09-26 2001-09-26 プラズマ誘発損傷を減少させる方法 Expired - Lifetime JP5084080B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/670,483 US6521302B1 (en) 2000-09-26 2000-09-26 Method of reducing plasma-induced damage
US09/670483 2000-09-26

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012166114A Division JP5501413B2 (ja) 2000-09-26 2012-07-26 プラズマ誘発損傷を減少させる方法

Publications (3)

Publication Number Publication Date
JP2002176047A true JP2002176047A (ja) 2002-06-21
JP2002176047A5 JP2002176047A5 (ja) 2011-09-08
JP5084080B2 JP5084080B2 (ja) 2012-11-28

Family

ID=24690572

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001294403A Expired - Lifetime JP5084080B2 (ja) 2000-09-26 2001-09-26 プラズマ誘発損傷を減少させる方法
JP2012166114A Expired - Lifetime JP5501413B2 (ja) 2000-09-26 2012-07-26 プラズマ誘発損傷を減少させる方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012166114A Expired - Lifetime JP5501413B2 (ja) 2000-09-26 2012-07-26 プラズマ誘発損傷を減少させる方法

Country Status (5)

Country Link
US (1) US6521302B1 (ja)
EP (1) EP1191569A3 (ja)
JP (2) JP5084080B2 (ja)
KR (1) KR100885350B1 (ja)
TW (1) TW497368B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
JP2007227816A (ja) * 2006-02-27 2007-09-06 Consortium For Advanced Semiconductor Materials & Related Technologies プラズマ処理終了方法
JP2014507065A (ja) * 2010-12-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド シリコンウエハのシリコン貫通ビアの製造

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040010319A1 (en) * 1998-04-14 2004-01-15 Osteoimplant Technology Inc. Intrinsic stability in a total hip stem
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7404986B2 (en) * 2004-05-07 2008-07-29 United Technologies Corporation Multi-component deposition
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20080008842A1 (en) * 2006-07-07 2008-01-10 Applied Materials, Inc. Method for plasma processing
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US7951695B2 (en) * 2008-05-22 2011-05-31 Freescale Semiconductor, Inc. Method for reducing plasma discharge damage during processing
US8815329B2 (en) * 2008-12-05 2014-08-26 Advanced Energy Industries, Inc. Delivered energy compensation during plasma processing
KR101049971B1 (ko) * 2010-04-08 2011-07-15 강원대학교산학협력단 살균 및 세정능을 갖춘 대기압 플라즈마 표면처리장치
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US20140367043A1 (en) * 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
US10199388B2 (en) * 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
CN113748482A (zh) * 2019-02-13 2021-12-03 朗姆研究公司 半导体处理中的异常等离子体事件的检测和缓解
WO2021132072A1 (ja) 2019-12-23 2021-07-01 第一三共株式会社 滑らかな表面を有するフィルムコーティング錠

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63102318A (ja) * 1986-10-20 1988-05-07 Tokyo Electron Ltd プラズマエツチング方法
JPH0344472A (ja) * 1989-07-11 1991-02-26 Seiko Epson Corp プラズマ薄膜の製造方法
JPH0653192A (ja) * 1992-07-29 1994-02-25 Matsushita Electric Ind Co Ltd ドライエッチング方法
JP2000195858A (ja) * 1998-12-25 2000-07-14 Nec Corp シリコン酸化膜の成膜方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3118913B2 (ja) * 1991-10-30 2000-12-18 ソニー株式会社 半導体装置の製造方法
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JPH06326058A (ja) * 1993-03-16 1994-11-25 Sanyo Electric Co Ltd 半導体基板の処理方法
JPH1027792A (ja) * 1996-07-11 1998-01-27 Miyazaki Oki Electric Co Ltd 半導体装置の製造方法
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63102318A (ja) * 1986-10-20 1988-05-07 Tokyo Electron Ltd プラズマエツチング方法
JPH0344472A (ja) * 1989-07-11 1991-02-26 Seiko Epson Corp プラズマ薄膜の製造方法
JPH0653192A (ja) * 1992-07-29 1994-02-25 Matsushita Electric Ind Co Ltd ドライエッチング方法
JP2000195858A (ja) * 1998-12-25 2000-07-14 Nec Corp シリコン酸化膜の成膜方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6911403B2 (en) 2003-08-20 2005-06-28 Applied Materials, Inc. Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
JP2007227816A (ja) * 2006-02-27 2007-09-06 Consortium For Advanced Semiconductor Materials & Related Technologies プラズマ処理終了方法
JP4678688B2 (ja) * 2006-02-27 2011-04-27 次世代半導体材料技術研究組合 プラズマ処理終了方法
JP2014507065A (ja) * 2010-12-22 2014-03-20 アプライド マテリアルズ インコーポレイテッド シリコンウエハのシリコン貫通ビアの製造

Also Published As

Publication number Publication date
TW497368B (en) 2002-08-01
JP5501413B2 (ja) 2014-05-21
EP1191569A3 (en) 2005-02-09
JP5084080B2 (ja) 2012-11-28
KR20020024788A (ko) 2002-04-01
JP2013038419A (ja) 2013-02-21
KR100885350B1 (ko) 2009-02-26
US6521302B1 (en) 2003-02-18
EP1191569A2 (en) 2002-03-27

Similar Documents

Publication Publication Date Title
JP5501413B2 (ja) プラズマ誘発損傷を減少させる方法
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
US5843239A (en) Two-step process for cleaning a substrate processing chamber
JP4138052B2 (ja) フッ化ケイ酸ガラス膜の膜安定性向上のための方法及び装置
JP3437832B2 (ja) 成膜方法及び成膜装置
US8741778B2 (en) Uniform dry etch in two stages
TWI391996B (zh) 電漿輔助化學氣相沉積薄膜之總缺陷降低方法
US6129044A (en) Apparatus for substrate processing with improved throughput and yield
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US20080075888A1 (en) Reduction of hillocks prior to dielectric barrier deposition in cu damascene
US7879397B2 (en) Method for processing polysilazane film
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
US7723228B2 (en) Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
JP2001515270A (ja) Peteosフィルムへのフッ素取り入れを通しての半導体装置絶縁特性の制御
KR20220002748A (ko) 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
US7122485B1 (en) Deposition profile modification through process chemistry
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US6890597B2 (en) HDP-CVD uniformity control
CN107408494B (zh) 缺陷平面化
JP7443312B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置
US7205205B2 (en) Ramp temperature techniques for improved mean wafer before clean
US20040161536A1 (en) Method for depositing a low-k material having a controlled thickness range
WO2007035041A1 (en) Method of and apparatus for fabricating thermal oxide film using single chamber-type cvd apparatus
US20110036288A1 (en) Sr-ti-o-based film forming method and storage medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080815

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110616

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20110621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110712

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20110928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120220

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120726

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120828

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120904

R150 Certificate of patent or registration of utility model

Ref document number: 5084080

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250