JP2014507065A - シリコンウエハのシリコン貫通ビアの製造 - Google Patents

シリコンウエハのシリコン貫通ビアの製造 Download PDF

Info

Publication number
JP2014507065A
JP2014507065A JP2013546200A JP2013546200A JP2014507065A JP 2014507065 A JP2014507065 A JP 2014507065A JP 2013546200 A JP2013546200 A JP 2013546200A JP 2013546200 A JP2013546200 A JP 2013546200A JP 2014507065 A JP2014507065 A JP 2014507065A
Authority
JP
Japan
Prior art keywords
silicon
gas
substrate
layer
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013546200A
Other languages
English (en)
Other versions
JP2014507065A5 (ja
Inventor
ナーガラージャン ラージャゴーパーラン,
ジエ パク,
ライアン ヤマセ,
シャミク パテル,
トーマス ノワック,
リー−クン シャ,
ボク ホーエン キム,
ラン ティン,
ジム バルディーノ,
メユール ナイク,
セシュ ラマスワミ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014507065A publication Critical patent/JP2014507065A/ja
Publication of JP2014507065A5 publication Critical patent/JP2014507065A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/11001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/11002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1356Disposition
    • H01L2224/13562On the entire exposed surface of the core
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/1357Single coating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/13686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/13687Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L2224/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L24/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01068Erbium [Er]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

シリコン貫通ビアの製造方法はシリコンプレートに複数の貫通孔をエッチングすることを含む。酸化物ライナが、シリコンプレートの表面、ならびに貫通孔の側壁および底部壁に堆積される。次に、金属導体が貫通孔内に堆積される。別のバージョンでは、酸化物ライナとともに同時に使用することができる窒化ケイ素パッシベーション層が基板のシリコンプレートの露出した裏面に堆積される。
【選択図】図1G

Description

本発明の実施形態は、電子回路で使用されるシリコン貫通ビアの製造に関する。
例えば、集積回路、表示回路、メモリ回路、電力回路、および光起電回路などの電子回路は、ますます小さくなる能動および受動フィーチャを用いて開発されている。電子回路の3次元(3D)構造は、各々が上にフィーチャを有する複数の基板を、多層積み重ね構造に、垂直に積み重ねることによって形成される。異なる基板のフィーチャは、基板の周囲エッジの外側に設置された従来のワイヤボンドにより互いに接続される。しかし、これに伴う3D回路構造は、積み重ねられた基板の外側にワイヤボンドが延びるので大きい面積に及び、回路サイズを増大させ、回路の面密度を低下させる。
垂直に配置された層内の回路のフィーチャを電気的に接続するためにシリコン貫通ビア(TSV)を使用して、より高い面密度を有し、側方ワイヤがない3D回路構造が形成されている。TSV製造では、ビアは、製造済み回路を既に有している場合があるシリコンウエハまたはシリコン層付きガラスパネルなどのシリコン含有基板にエッチングされる。エッチングされたビアは、導電体、例えば、銅(Cu)、銀(Ag)、金(Au)、タングステン(W)、および半田などの金属を含む金属導体、またはドープされた半導体、例えば、ポリシリコンで充填される。ビアに金属導体を堆積させる前に、酸化ケイ素層および窒化ケイ素層などの誘電体層をさらに使用して、ビアの壁をライニングし、拡散バリア、ハーメチックシール、および他の絶縁、拡散バリア、もしくは浸透低減層として働かせることができる。次に、多数の基板は積み重ねられ、垂直電気接続がTSVによって形成され、結果として生じる3次元回路の上または下のフィーチャおよび一部が接続される。これらの3D構造は、3Dパッケージ、システムインパッケージ、チップスタック、またはMCMとして一般に知られている。TSVは、ワイヤボンディング法と比較して、より小さい範囲の「設置面積」で機能を増大させることができ、さらに、垂直に積み重ねられている上の回路間の電気経路を実質的に短くすることによって動作速度をより速くすることができる。
いくつかのTSV製造プロセスにおいて、TSVを製造する間脆弱なシリコンウエハを保護するために、シリコンウエハなどのシリコンプレートを含む基板はガラスパネルなどの支持体パネルに接合される。しかし、シリコンウエハは、250℃より上の温度で変質する接着剤を使用して支持体パネルにしばしば接合される。TSVフィーチャ内に材料を堆積させる従来のプロセス、特に、誘電体堆積法は、接着接合材料の変質温度よりも高温で行われる。その結果、接着剤が処理中に熱的に劣化し、このため、製造されるTSV回路の破損、損傷、または故障がもたらされる。TSVの製造中の温度劣化の問題は従来の処理法によって解決されていない。
したがって、これらおよび他の欠陥を含む様々な理由のために、およびTSVフィーチャに誘電体および他の材料を堆積させる様々な方法の開発にもかかわらず、TSVフィーチャの製造のさらなる改善が絶え間なく求められている。
シリコン貫通ビアの製造方法は複数の貫通孔をシリコンプレート内にエッチングすることを含み、貫通孔は側壁および底部壁を含む。酸化物ライナが、プロセス電極およびガス分配器を含むプロセスゾーン内にシリコンプレートを準備することと、テトラエチルオルトシランを含むケイ素含有ガス、および酸素ガスを含む酸素前駆体を含む堆積ガスをプロセスゾーン内に導入することと、第1の周波数でプロセス電極に約200ワットから約1500ワットの電力レベルで電流を印加することによってプラズマを形成するために堆積ガスにエネルギーを与えることとによって貫通孔の側壁および底部壁に堆積される。次に、金属導体が貫通孔に堆積される。
本発明のこれらの特徴、態様、および利点は、本発明の例を示す以下の説明、添付の特許請求の範囲、および添付図面に関連して一層よく理解されるようになるであろう。しかし、特徴の各々は本発明において一般に使用され得るものであり、特定の図面との関連でのみ使用されるものではなく、本発明はこれらの特徴の任意の組合せを含むことが理解されるべきである。
能動および受動フィーチャを上に含む電子回路を有するシリコンプレートを含む基板の概略断面図である。 図1Aの基板をひっくり返してキャリアに接合したものを示す概略断面図である。 基板の露出した表面への窒化ケイ素パッシベーション層の堆積を示す堆積チャンバの概略図である。 基板上のパターン化レジストフィーチャを含む耐エッチング層を示す概略図である。 パターン化レジストフィーチャ間に存在するシリコンプレートの露出領域における貫通孔のエッチングを示すエッチングチャンバの概略図である。 キャリアによって支持されたシリコンプレートを通してエッチングされた完成した貫通孔を示す概略図である。 堆積チャンバ内でのシリコンプレートと基板の貫通孔の露出した表面上とへの酸化物ライナの堆積を示す概略図である。 シリコンプレート上の酸化物ライナの上へのシーリング層の堆積を示す概略図である。 基板の露出した表面上への窒化ケイ素パッシベーション層の堆積を示す概略図である。 電気メッキ浴におけるシリコンプレートの貫通孔への電気メッキ金属を含む金属導体の堆積を示す概略図である。 シリコンプレートの貫通孔に堆積された金属導体を示す概略図である。 シリコンプレートの表面に堆積された過剰な金属導体の化学機械研磨を示す概略図である。 シリコンプレートの貫通孔の金属導体の露出したコンタクト部分を示す概略図である。 基板の金属含有部分上の自然酸化物層の削減および除去を示す概略図である。 洗浄された金属導体と基板表面とに堆積された保護被覆を示す概略図である。 洗浄された金属導体と基板表面とに堆積された3重層被覆を含む保護被覆を示す概略図である。 洗浄された金属導体に接触するコネクタバンプと周囲のポリイミド被覆とを示す概略図である。 基板のシリコンプレートにTSVフィーチャを形成するためのプロセスの例示のバージョンの流れ図である。 プロセス電極に印加された一次周波数電流の電力レベルの増加に対する堆積された窒化ケイ素パッシベーション層の応力レベルまたは屈折率の変化を示すグラフである。 0.5ミクロン(厚い)または0.05ミクロン(薄い)の厚さに堆積された窒化ケイ素パッシベーション層の測定した応力レベルのデルタ応力変化を示すグラフである。 0.5ミクロン(厚い)または0.05ミクロン(薄い)の厚さに堆積された窒化ケイ素パッシベーション層の633nmで測定されたデルタ屈折率を示すグラフである。 基板の表面に堆積された様々なタイプの窒化ケイ素層のエッチングのドライエッチング速度を示すグラフである。 基板において上部基板表面とTSVビアの底部とに様々なプロセスで堆積された層の厚さを示すグラフである。 高いアスペクト比を有する貫通孔を含み、シリコンプレートにエッチングされ、貫通孔の側壁および底部壁に堆積された酸化物ライナを有するTSVフィーチャの断面のSEM顕微鏡写真である。 厚い酸化物ライナ堆積物に関する貫通孔の側壁および底部壁に堆積された酸化物ライナ材料のフーリエ変換赤外(FTIR)分光トレースを示すグラフである。 薄い酸化物ライナ堆積物に関する貫通孔の側壁および底部壁に堆積された酸化物ライナ材料のフーリエ変換赤外(FTIR)分光トレースを示すグラフである。 基板の処置および/または基板上への様々な二酸化ケイ素、窒化ケイ素、または他の層の堆積に好適なプラズマチャンバである基板処理チャンバの一実施形態の概略部分断面図である。 図7に示した基板支持体の1つの実施形態の等角側面図である。 図7に示した基板支持体の1つの実施形態の等角下面図である。 図7に示した基板支持体の1つの実施形態の底部概略図である。 図9Aのライン9B−9Bに沿って取った基板支持体の1つの実施形態の断面側面図である。 図9Bの基板支持体の1つの実施形態の断面図の拡大部分の図である。 図9Aのライン9D−9Dに沿って取った断面側面図である。 図9Dの基板支持体の1つの実施形態の断面図の拡大部分の図である。 抵抗ヒータの一実施形態の概略上面図である。 抵抗ヒータの一実施形態の概略側面図である。
シリコン貫通ビア(TSV)は、一般に、例えば、図1Aから1Qに示すように、連続して行われるいくつかの異なるプロセスを使用して、シリコンプレート20を含む基板18に製造される。シリコンプレート20はシリコン貫通ビア用のレセプタクルであり、例えば、多結晶シリコン、単結晶シリコンからなるシリコンウエハ、または他の形態の結晶またはアモルファスシリコンとすることができる。例示のシリコン貫通ビアの製造プロセスが本明細書で説明され、実例が図2に示される。しかし、当業者には明らかである他のプロセスを使用することもでき、プロセスのすべてのそのような組合せは本発明の範囲内にある。さらに、本明細書で説明する例示のプロセスステップは、本特許請求の範囲の範囲から逸脱することなく、異なる順序で行うか、他のプロセスステップで置き換えるか、または完全に除去することができる。したがって、特許請求の範囲は、本明細書で説明する例示または例証のプロセスおよび装置に限定されるべきでない。
1つの例示的な実施形態では、基板18は、図1Aに示すように、フィーチャ22の1つまたは複数を中にもつ前面21と、裏面23とを有するシリコンプレート20を含む。フィーチャ22は、図2に示す通りシリコンプレート20上に既に製造されている場合があり、または後で製造する場合がある。フィーチャ22は、例えば、集積回路、メモリチップ、表示器、光電池、または他の回路などの電子回路と、トランジスタなどの能動フィーチャと、抵抗器およびキャパシタなどの受動フィーチャとを含むことができる。図示の例では、フィーチャ22は前面21に形成され、シリコンプレート20中に下方に延びる。相互接続ライン、コンタクトホール、および/または他の電気導電性フィーチャを含む薄い導体層(図示せず)が、さらに、フィーチャ22への接続のために、または他の接続用途のためにシリコンプレート20の前面21に形成され得る。
シリコンプレート20は、図1Bに示すように、シリコンプレート20の裏面23を露出させるためにひっくり返され、キャリア24に取り付けられる。キャリア24は、シリコン貫通ビアの製造の間シリコンプレート20を支持する。好適なキャリア24は、例えば、ガラス、ポリマー、セラミック、または半導体プレートとすることができ、または他の材料から製作することができる。1つの実施形態では、キャリア24はシリコンウエハまたはガラスプレートのいずれかである。
図2に示す通り、シリコンプレート20の前面21は、キャリア24に、それらの間の接着層28を用いて接合して、シリコンプレート20の裏面23を露出させることができ、裏面23はここで、結果として得られる基板18の露出した処理表面23になる。好適な接着層28は、例えば、UV硬化性または熱硬化性である熱可塑性樹脂などの熱可塑性接着剤を含む。好適な接着層28は、Brewer Science、Rolla(ミズーリ州)、または3M Corp.、St. Paul(ミネソタ州)で製品化されている。いくつかの熱可塑性樹脂は、350℃未満、またはさらに250℃未満、またはさらに約200℃の温度で流動および硬化する。
窒化ケイ素パッシベーション層
1つのオプションのプロセスステップでは、窒化ケイ素パッシベーション層26が、図1Cに示すように基板18の露出した表面23に堆積される。窒化ケイ素パッシベーション層26は、シリコンプレート20がキャリア24に接合された後に基板18が反りまたは他の歪みなどの形状歪みを示す場合、応力補償層として使用される。窒化ケイ素パッシベーション層26は、基板18が後のプロセスステップで形状歪みを受けることが分かっている場合、予防的な応力補償層として使用することもできる。窒化ケイ素パッシベーション層26は、普通なら基板18の形状を反らせるか、または歪ませることになる基板18に誘起される応力に逆らうことによって基板18の形状を調整する。例えば、反った状態では、基板18は周囲エッジに沿って弓反りし、かつ部分的に内側に湾曲して、凸状または凹状形状の表面を画定することがあり、基板18の中央部分は基板18の周囲エッジよりも高いかまたは低い。反りは、プロセスのいずれかの接合もしくは加熱段階、またはシリコンプレート20の一部を除去する研削もしくは研磨ステップの間に生じることがあり、それらの段階はすべて不均一な応力をシリコンプレート20と下のキャリア24との間に生じさせることがある。窒化ケイ素パッシベーション層26はそのような形状歪みを低減させ、防湿バリアとして働くこともでき、さらに、下のフィーチャ22を環境からハーメチックシールすることができる。
窒化ケイ素パッシベーション層26は、ガス分配器36とプロセス電極38a、bとを含む堆積チャンバ34の堆積ゾーン30内で、図2に示す通り基板18の露出した表面23に堆積される。1つの実施形態では、基板18は堆積ゾーン30に移送され、ガス分配器36の表面から指定の間隔、例えば、約7.5mm(300ミル)から約20mm(約750ミル)に維持される。シラン(SiH)などのケイ素含有ガスとアンモニア(NH)などの窒素含有ガスとを含む堆積ガス40がチャンバ34に導入される。プラズマを安定させるか、または基板18の端から端までより均一な堆積厚さを発生させることができる希釈ガスを、プロセスガスに加えることもできる。好適な希釈ガスには、窒素(N)、ヘリウム(He)、またはアルゴン(Ar)が含まれる。1つの実施形態では、堆積ガス40には、約50から約1000sccm(例えば、約650sccm)の流量のシランと、約100sccmから約1000sccmの流量のアンモニアと、約500から約25000sccm(例えば、約22000sccm)の流量の窒素とを含む希釈ガスとが含まれる。堆積ゾーン30の堆積ガスは、約2Torrから約5.5Torr(例えば、約3.5Torr)の圧力に維持される。堆積プロセスの間、基板18は、約100℃から220℃(例えば、約180℃)の温度に維持される。有利には、低圧堆積プロセスは、下のキャリア24にシリコンプレート20を連結させるのに使用される接着層28の可塑化またはリフローを低減させる。プラズマは、13.6MHzの一次周波数の電流をプロセス電極38a、bに約500ワットから約1600ワット(例えば、約1100ワット)の電力レベルで印加することによって保持することができる。その上、プラズマは、約350kHzの二次周波数および約10ワットから約200ワットの電力レベルの低周波電力をさらに印加することによって増強することができる。結果として生じたプロセスプラズマは、応力補償層および防湿バリア層の両方として働くことができる窒化ケイ素パッシベーション層26を基板18の表面23に堆積させる。パッシベーション層26は、約2g/cmから約3g/cm、例えば、約2.2g/cmの密度を有する。パッシベーション層26は、約0.1ミクロンから約10ミクロンの厚さに堆積させることができる。
上述のような窒化ケイ素堆積プロセスはいくつかの利点および利益を有する。最初に、堆積された窒化ケイ素パッシベーション層26の固有応力レベルは調整可能であることが見いだされた。具体的には、堆積ゾーン30のプロセス電極38a、bに印加される一次周波数(HF)電流のパワーレベルを選択して、堆積されるパッシベーション層26の応力のレベルを調整することができる。例えば、図3は、プロセス電極38a、bに印加されるHF電流の電力レベルの増加に対する堆積された窒化ケイ素パッシベーション層26の応力レベルの変化を示す。プロセス電極38a、bに印加されるHF電流の電力レベルが約1050ワットから約1280ワットに増加されるにつれて堆積された窒化ケイ素パッシベーション層26の固有応力レベルが約0の値から約−450MPaまで徐々に減少することが分かる。負の応力レベルは、KLA Tencor、Milpitas(カリフォルニア州)によって製造されたKLA Tencor SpectraFx 100器具で測定された実測の圧縮応力を示す。
窒化ケイ素パッシベーション層26の調整可能な応力レベルのおかげで、基板18に観察される形状歪みの程度またはタイプに応じて、さらに、窒化ケイ素パッシベーション層26の堆積に先立って、堆積チャンバ34のプロセス電極38a、bに印加されるHF電流の電力レベルを制御することによって、パッシベーション層26に要望される固有応力は所望の所定のレベルに設定されるようになる。例えば、シリコンプレート20の表面23が凹状表面である場合、引張応力を有する窒化ケイ素パッシベーション層26が凹状形状を平坦または平面表面に補正および矯正するのに望ましい。別の例として、シリコンプレート20の表面23が凸状表面である場合、圧縮応力を有する窒化ケイ素パッシベーション層26が凸状表面を平面表面に補正および矯正するのに望ましい。したがって、プロセス電極38a、bに印加される一次周波数電流の電力レベルを選択して、少なくとも約1050ワット、またはさらに約1050ワットから約1300ワットの電力レベルの値を選択することによって基板18の表面23を実質的に平面表面に調整する。堆積チャンバ34のプロセス電極38a、bに印加されるHF電流の電力レベルは、以下で説明するようにチャンバ34を制御するコントローラで制御することができる。
図3の第2のY軸で示されるような基板18のパーセント不均一性はプロセス電極38a、bに印加される一次周波数電流の電力レベルに線形相関を同様に示すことがさらに観察された。例えば、プロセス電極38a、bに印加されるHF電流の電力レベルが約1050ワットから約1280ワットに増加されたとき、堆積された窒化ケイ素パッシベーション層26のパーセント不均一性は、約1.2%の値から約2.7%の値まで増加した。これらの結果から電極38a、bに印加されるHF電力レベルは、一般に、より良好な均一性を与えるにはより低くなければならないことが明確になった。選択された電力レベルの範囲により、窒化ケイ素パッシベーション層26の固有応力を望ましい高レベルに維持しながら、堆積されたパッシベーション層26の不均一性を十分に低レベルに維持することができるようになる。
低温堆積の窒化ケイ素パッシベーション層26で測定された性質は、さらに、層26が約220℃未満の比較的低い堆積温度で堆積されたことを特に考慮すると予想外で驚くべきものであった。図4Aは、2つの窒化ケイ素パッシベーション層26、すなわち、0.5ミクロンの厚さを有する「厚い」、および0.05ミクロンの厚さを有する「薄い」窒化ケイ素パッシベーション層26のある期間にわたる実測の応力レベルの変化を示す。厚い窒化ケイ素パッシベーション層26は6日後に10MPa未満の応力レベルの変化を示し、薄い窒化ケイ素パッシベーション層26は6日後に5MPa未満の応力レベルの変化を示したことが分かる。
同様に、窒化ケイ素パッシベーション層26の屈折率(RI)の変化は、やはり、約6日の期間にわたって従来のプロセスよりも実質的に低かった。図4Bは、0.5ミクロン(厚い)または0.05ミクロン(薄い)の厚さに堆積された窒化ケイ素パッシベーション層26について従来の偏光解析法を用いて633nmで測定したデルタ屈折率を示す。再度、厚い窒化ケイ素パッシベーション層26は6日後に0.001未満のRIの変化を示し、薄い窒化ケイ素パッシベーション層26は6日後に0.0005未満のRIの変化を示したことが分かる。
窒化ケイ素パッシベーション層の堆積プロセスの別の実施形態では、プロセス条件は、層26の厚さを通して応力勾配を有する窒化ケイ素パッシベーション層26を堆積させるように設定される。例えば、窒化ケイ素パッシベーション層26の厚さを通して変化する引張りまたは圧縮応力レベルを含む応力勾配は、印加電力レベルを第1のレベルから第2のレベルに、次にオプションとして第3のレベル、さらにオプションとして他のレベルに変化させるような電極38a、bに印加される電流の電力レベルなどのプロセス条件を制御することによって形成することができる。応力勾配のプロファイルはこの時点でまたは後のプロセスによってシリコンプレート20に誘起される応力を補償するように合わせられる。したがって、応力勾配はパッシベーション窒化ケイ素層26のない基板18内の応力のプロファイルと反対の応力値をもつプロファイルを有するように合わせられる。これにより、基板18のシリコンプレート20の表面の反り(warping)および弓反り(bowing)が減少または除去される。
応力勾配は、プラズマ密度、イオン衝撃エネルギー、ガス圧力、またはプロセスガスの成分の流量を変化させることによって窒化ケイ素パッシベーション層26に形成される。例えば、応力勾配は、以下の体積流量、すなわち、1.4:1:40で供給されるSiH、NH、およびNから構成されるプロセスガスによって形成することができる。堆積プロセスの間、基板18は前に説明したような堆積チャンバ34内に置かれ、約100℃から約200℃(例えば、約180℃)の温度に維持される。最初、第1の段階では、チャンバ圧力は、約4Torrから約6Torr(例えば、約4.2Torr)に維持される。その後、第2の段階では、チャンバ圧力は、約2Torrから約4Torr(例えば、約2.2Torr)の圧力に維持される。プラズマは、約1000ワットから約1300ワットの電力レベルで電流をチャンバ電極に印加することによって維持される。応力勾配を有する窒化ケイ素パッシベーション層26は、少なくとも約10ミクロン、例えば、約0.1から約6ミクロンの厚さに堆積させることができる。
別のバージョンでは、耐フッ素性窒化ケイ素パッシベーション層26が、シリコン貫通ビアをエッチングするのに先立って堆積される。フッ素含有ガス、例えば、SF、CF、他のそのようなガスは、フッ素イオンがケイ素と反応して揮発性フッ化ケイ素化合物を形成するので、シリコンをエッチングするためにしばしば使用される。しかし、シリコンエッチングプロセス中に、フッ素イオンは窒化ケイ素パッシベーション層26と反応して浸食除去することがある。これは、シリコン貫通ビアのエッチングプロセスの間に窒化ケイ素パッシベーション層26のより厳しいアンダーカットをもたらす。本実施形態では、耐フッ素性窒化ケイ素パッシベーション層26が、シリコン貫通ビアをエッチングするのに先立って堆積される。耐フッ素性窒化ケイ素パッシベーション層26は、堆積中に窒化ケイ素層を酸素でドープして酸素を窒化ケイ素層にドープし、酸窒化ケイ素層を形成することによって堆積される。酸窒化ケイ素層は、窒化ケイ素パッシベーション層26をさらに耐フッ素性にし、したがって、シリコンエッチングプロセス中のこの層のアンダーカットを防止する。結果として得られた耐フッ素性窒化ケイ素パッシベーション層26は、やはり、前述の層と同じ低温で堆積され、層をシリコン貫通ビアのバックパッシベーション適用に好適なものにする窒化ケイ素パッシベーション層26の所望の性質、すなわち、気密性、低い応力、および熱的安定性をすべて有している。耐フッ素性窒化ケイ素パッシベーション層26の好適な酸素含有量は、少なくとも約10%、またはさらに約10%から約30%である。
1つのバージョンでは、耐フッ素性窒化ケイ素パッシベーション層26は、PECVD堆積チャンバ34で200℃よりも低い堆積温度で堆積される酸素ドープ窒化ケイ素層を含む。例えば、基板18は、堆積ゾーン30に移送し、ガス分配器36の表面から指定の間隔、例えば、約7.5mm(300ミル)から約20mm(約750ミル)に維持することができる。シラン(SiH)などのケイ素含有ガスとアンモニア(NH)などの窒素含有ガスと一酸化二窒素(NO)または二酸化炭素(CO)などの酸素含有ガスとを含む堆積ガス40がチャンバ34に導入される。プラズマを安定させるか、または基板18の端から端までより均一な堆積厚さを発生させることができる希釈ガスを、プロセスガスに加えることもできる。好適な希釈ガスは、窒素(N)、ヘリウム(He)、またはアルゴン(Ar)を含むことができる。1つの実施形態では、堆積ガス40は、約50から約1000sccm(例えば、約720sccm)の流量のシランと、約100sccmから約1000sccmの流量のアンモニアと、約500から約10000sccmの流量の一酸化二窒素または二酸化炭素と、約500から約25000sccm(例えば、約9000sccm)の流量の窒素を含む希釈ガスとを含む。堆積ゾーン30のプロセスガスは、約2Torrから約5.5Torr(例えば、約4.2Torr)の圧力に維持される。堆積プロセスの間、基板18は、約100℃から約220℃(例えば、約180℃)の温度に維持される。プラズマは、13.6MHzの周波数でプロセス電極38a、bに約500ワットから約1600ワット(例えば、約1350ワット)の電力レベルで電流を印加することによって保持することができる。その上、プラズマは、約350kHzの周波数および約10ワットから約200ワットの電力レベルの低周波電力をさらに印加することによって増強することができる。結果として生じたプロセスプラズマは、酸窒化ケイ素層を含む耐フッ素性窒化ケイ素パッシベーション層26を基板18の表面23に堆積させる。パッシベーション層26は、約0.1ミクロンから約10ミクロンの厚さに堆積させることができる。
後続のビアエッチングプロセスでは、フッ素ラジカルは、酸素ドーピングのない窒化ケイ素よりも非常に遅い速度で酸素ドープ窒化ケイ素層を浸食する。図4Cは、酸素がない他の窒化ケイ素層と比較して、酸素ドープ窒化ケイ素層(丸で囲まれた)の非常に低いドライエッチング速度を示す。グラフの最後の層で示されるようなさらなる他の形態のドーパントは、酸素ドープされた窒化ケイ素層ほど遅いエッチングではなかった。低温でこのように構築された酸素ドープ窒化ケイ素は、良好なパッシベーション層の所望の性質ならびにフッ素ガスでの低いエッチング速度を有する。
オプションの窒化ケイ素パッシベーション層の堆積の後、複数の貫通孔44がシリコンプレート20を通してエッチングされ、図2に示す通りおよび図1Fに示すように、シリコン貫通ビア(TSV)用の孔が形成される。エッチングプロセスに先立って、基板18の表面は耐エッチング層32で被覆され、耐エッチング層32は、フォトレジスト層単独、または二酸化ケイ素もしくは窒化ケイ素層などのハードマスク層をもつフォトレジスト層とすることができる。耐エッチング層32の様々な構成要素は従来のリソグラフィプロセスを使用してパターン化および現像され、図1Dに示すように、複数のレジストフィーチャ33がシリコンプレート20上に形成される。
その後、レジストフィーチャ33の上部パターンをもつシリコンプレート20の露出したシリコン部分39がエッチングプロセスでエッチングされる。エッチングプロセスでは、図1Eに示すように、基板18はエッチングチャンバ52のエッチングゾーン50に置かれ、エッチングガス54がエッチングゾーン50に導入される。1つの実施形態では、エッチングガス54は、SF、CF、NF、C、CHFなどのようなフッ素含有ガスを含み、さらにオプションとしてアルゴンなどの希釈ガスを含むことができる。1つのバージョンでは、シリコンプレート20の露出したシリコン部分39は、約10から約4000sccm、またはさらに、例えば、約100から約1000sccmの体積流量のSFを含むエッチングガス54を用いてエッチング除去される。プラズマは、400kHzまたは13.6MHzの周波数の電流を約50ワットから約2000ワットの電力レベルでエッチングゾーン50のまわりのプロセス電極56a、bに印加することによって保持される。エッチングプロセスの間、基板18は、約80℃未満、例えば、約20℃から約60℃の温度に維持される。基板18は、約75mm(約3インチ)から約180mm(約7インチ)の、ガス分配器55からの間隔に維持される。
エッチングプラズマは、図1Fに示すように、露出したシリコン部分39をエッチングして、その中に複数の貫通孔44を形成する。一般に、貫通孔44は実質的に垂直であり、シリコンプレート20の大部分、またはさらにシリコンプレート20の全厚さを通って延びる。1つの実施形態では、貫通孔44は、少なくとも約10:1、またはさらに約10:1から約15:1のアスペクト比(エッチング直後の裸の孔の高さを孔の幅で除した比)を有する。1つの実施形態では、貫通孔44は、約4ミクロンから約50ミクロンの直径を有する。エッチングプロセスの後、従来のレジスト灰化および剥離プロセスを使用して、例えば、残留レジストを灰化するのに酸素含有プラズマを、および任意の残留ハードマスク材料を除去するのにハロゲンガスなどの他のガスを使用することによって基板18上のレジストフィーチャ33の残りを除去する。灰化または剥離プロセスはエッチングチャンバ52または異なるチャンバで行うことができる。
酸化物ライナ
1つのバージョンでは、酸化物ライナ45は、図2に示す通りおよび図1Gに示すように、シリコンプレート20の表面46、ならびにシリコンプレート20にエッチングされた貫通孔44の側壁48および底部壁49の露出した表面に堆積される。シリコンプレート20の表面46はケイ素からなることができ、またはその上にオプションの窒化ケイ素パッシベーション層26(図示のような)などの他の層を有することができる。酸化物ライナ45は、堆積チャンバ34aの堆積ゾーン30aで低温プラズマ化学気相堆積プロセスにより堆積される。このプロセスでは、テトラエチルオルトシラン(TEOS)を含むケイ素含有前駆体と、酸素ガス(O)を含む酸素前駆体とを含む堆積ガス40aが、基板18が入っている堆積ゾーン30aに導入される。1つのバージョンでは、TEOS前駆体はヘリウムなどのキャリアガスで移送され、約400mgm(mg/分)から約12000mgm(例えば、約3000mgm)の質量流量で供給される。堆積ガス40aは、約2000から約17000sccm(例えば、約12000sccm)の流量で供給される。堆積ゾーン30aのガス圧力は、約2Torrから約8Torr(例えば、約5.5Torr)の圧力に維持される。堆積プロセスの間、基板18は、約250℃未満、例えば、約100℃から約250℃の温度に維持される。基板18は、約5mm(およそ200ミル)から約13mm(およそ500ミル)の、ガス分配器36aからの間隔に保持される。プラズマは、13.6MHzの一次周波数の電流をプロセス電極38a1、b1に約100ワットから約1200ワット(例えば、約1000ワット)の電力レベルで印加することによって維持される。その上、プラズマは、プロセス電極38a1、b1に、400kHzまでの、例えば、350kHzの二次周波数の低周波電力をさらに印加することによって増強することができる。二次電力は、約10ワットから約500ワットの電力レベルで印加することができる。結果として生じたプラズマは、約2g/cmから約3g/cm(例えば約2.2g/cm)の密度を有する酸化物ライナ45を堆積させる。1つのバージョンでは、酸化物ライナ45は、約0.1ミクロンから約4ミクロン、またはさらに約4ミクロンから約6ミクロンの厚さに堆積される。
図5は、シリコンプレート20を通ってエッチングされた高いアスペクト比の貫通孔44を含み、貫通孔44の側壁48および底部壁49に堆積された酸化物ライナ45を有するいくつかの異なるシリコン貫通ビア(TSV)60の断面のSEM顕微鏡写真を示す。見て分かるように、酸化物ライナ45は、高いアスペクト比の孔でさえ貫通孔44の底部壁49および側壁48の良好なカバレッジを備えていた。これらの貫通孔44は、少なくとも約10:1、例えば、約12:1から約14:1のアスペクト比を有していた。結果として生じたTSV60は、約10から約12ミクロンの直径および約140ミクロンの高さを有していた。この適用では、酸化物ライナ45は、TSV60の内部に引き続いて堆積される金属導体をシリコンプレート20の周囲のケイ素材料から電気的に分離するために貫通孔44の側壁48および底部壁49に堆積された。酸化物ライナ45は、これらの例では、約2.5ミクロンの推定厚さに堆積されたが、SEM写真からの実測の厚さは、約2.3ミクロンから約2.7ミクロンであることが見いだされた。したがって、堆積された酸化物ライナ45は、5%未満の厚さ変動をもつ良好な側壁および底部壁のカバレッジを有していた。酸化物ライナ45は、さらに、少なくとも約9MV/cm、例えば、約10.2MV/cmの比較的高い降伏電圧を実証した。さらに、酸化物ライナ45は、酸化物ライナ45の上に堆積された金属導体68への良好な付着性を有することが後で見いだされた。
低温酸化物堆積プロセスは、さらに、TSV60に好結果をもたらした。例えば、酸化物ライナ45は、図6Aおよび6Bに示すように、周囲室温条件において驚くほどに良好な湿気安定性を実証した。この実験では、酸化物ライナ45は、250℃の堆積温度で2つの異なる厚さ、すなわち約2ミクロンの厚い厚さおよび約0.5ミクロンの薄い厚さで貫通孔44に堆積された。厚いおよび薄い酸化物ライナ層が剥ぎ取られ、1日および10日間の環境への暴露の後、フーリエ変換赤外(FTIR)分光法で分析された。図6Aおよび6Bは、10日間の環境への暴露の後の酸化物ライナ材料のFTIRグラフを示す。Si−O−Si曲線に対する−OH曲線の面積の比は、堆積された酸化物ライナ材料の水蒸気の吸収の量を示す。1日および10日間の暴露の後のFTIR結果が表1に示される。

表1から、1日から10日間の暴露で酸化物ライナ材料に吸収された水蒸気の量の変化を示すデルタは、それぞれ、厚いおよび薄い酸化物ライナに対してわずか0.3%または0.4%であることが見て分かる。これは、10日の期間を通じてSi−O−Si FTIRピークに対する−OH FTIRピークの比が0.5%未満の変化であることを示している。これが意味するところは、現在の低温プロセスを使用して堆積した酸化物ライナ材料はある期間にわたって安定であり、大気から大量の水を吸収しない。対照的に、従来の酸化物堆積プロセスでは、耐湿性への耐性が少ない。酸化物は、一般に、周囲空気条件下では膜安定性が低下するので、これらの結果は驚くほどに良好であった。
別のバージョンでは、酸化物ライナ45は、TEOSを使用して堆積された共形酸化物ライナを含む。有利には、共形酸化物ライナは、図1に示すように、シリコンプレート20の露出した表面46に、シリコンプレート20にエッチングされた貫通孔44の側壁48および底部壁49と比較して、より高い厚さで堆積される。このプロセスでは、酸化物ライナ45は、堆積チャンバ34aの堆積ゾーン30aで低温プラズマ化学気相堆積プロセスにより堆積される。このプロセスでは、テトラエチルオルトシラン(TEOS)を含むケイ素含有前駆体と、酸素ガス(O)を含む酸素前駆体とを含む堆積ガス40aが、基板18が入っている堆積ゾーン30aに導入される。1つのバージョンでは、TEOS前駆体はヘリウムなどのキャリアガスで移送され、約500mgm(mg/分)から約12000mgm、例えば、約3000mgmの質量流量で供給される。堆積ガス40aは、約2000から約17000sccm、例えば、約12000sccmの流量で供給される。堆積ゾーン30aのガス圧力は、約2Torrから約8Torr、例えば、約5.5Torrの圧力に維持される。堆積プロセスの間、基板18は、約250℃未満、例えば、約100℃から約250℃の温度に維持される。基板18は、約5mm(200ミル)から約13mm(500ミル)の、ガス分配器36aからの間隔に保持される。プラズマは、13.6MHzの周波数の電流をプロセス電極38a1、b1に約200ワットから約1500ワット、例えば、約1000ワットの電力レベルで印加することによって維持される。その上、プラズマは、プロセス電極38a1、b1に約350kHzからの周波数の低周波電力をさらに印加することによって増強することができる。低周波電力は、約10ワットから約500ワットの電力レベルで印加することができる。結果として生じたプラズマは、約2g/cmから約3g/cm、例えば約2.2g/cmの密度を有する酸化物ライナ45を堆積させる。1つのバージョンでは、酸化物ライナ45は、約1ミクロンから約2ミクロン、またはさらに約2ミクロンから約6ミクロンの厚さに堆積される。
TSVビアのための共形酸化物キャップ
低温酸化物層が、PECVDプロセスで低温でTEOSを使用して高いアスペクト比の貫通孔44に堆積される場合、結果として生じた酸化物層は、貫通孔44の高いアスペクト比に起因して下の構造への共形性が不十分であることがある。例えば、少なくとも約10のアスペクト比を有する貫通孔44は、貫通孔44の内部に非共形被覆を発生させることがある。この問題は、貫通孔44の限界寸法(CD)サイズが、さらに、小さい、例えば、約5ミクロン未満のCDである場合に悪化する。そのような高いアスペクト比で小さいCDの貫通孔44では、少なくとも約1000オングストロームの厚さをもつより厚いPECVD堆積酸化物層が、貫通孔44の底部壁49に堆積される。さらに、表面46に隣接する貫通孔44の上部のエッジおよび角部の酸化物オーバーハングが、見通し線堆積の不足に起因して後続のPVD堆積ステップ中に不十分なステップカバレッジをもたらすことがあり、貫通孔44への導体または金属材料の堆積中にボイドをもたらすこともある。これらの理由で、より共形な酸化物層が高いアスペクト比の貫通孔44にとって望ましい。共形酸化物層を熱CVDベースプロセスで堆積して、高いアスペクト比の貫通孔44の側壁48および底部壁49でさえ少なくとも約50%の共形である被覆を設けることができる。しかし、不利なことには、基板18の上面46の共形の酸化物層の酸化物厚さは、一般に、低温PECVD酸化物層の厚さよりも薄い。貫通孔44の底部壁49の共形酸化物層をエッチング除去するための後続のエッチングプロセスのエッチング速度は、孔44の底部で有効ラジカルが欠乏することに起因して上面46よりも速い。したがって、酸化物層の開口エッチングの間、上面46の共形酸化物層と低温パッシベーション窒化ケイ素層との両方がエッチング除去されるようになることがあり、望ましくない。
1つのバージョンでは、この問題は、共形低温酸化ケイ素層の上に非共形酸化ケイ素または窒化ケイ素層を含むキャッピング層を堆積させることによって対処され、キャップおよび下層の両方が基板の上面46を覆う。非共形シリコン酸化物層を含むキャッピング層の厚さは、基板18の上面46の酸化物層の開口表面区域のエッチング速度に応じて変更することができる。窒化ケイ素パッシベーション層26は、上述のように、PECVDチャンバ内で約200℃未満の温度で堆積される。その後、非共形低温酸化物層が、PECVDプロセスで低温でTEOSを使用して貫通孔44に堆積される。
その後、基板18は堆積チャンバ34aの堆積ゾーン30aに移送され、酸化ケイ素を含むキャッピング層が堆積される。このプロセスでは、テトラエチルオルトシラン(TEOS)を含むケイ素含有前駆体と、酸素ガス(O)を含む酸素前駆体とを含む堆積ガス40aが、基板18が入っている堆積ゾーン30aに導入される。1つのバージョンでは、TEOS前駆体はヘリウムなどのキャリアガスで移送され、約100mgm(mg/分)から約4000mgm、例えば、約400mgmの質量流量で供給される。ヘリウム流量は、約2500から約8000sccm、例えば、約9000sccm)とすることができる。酸素は、約2500から約8500sccm、例えば、約8000sccmの流量で供給される。堆積ゾーン30aのガス圧力は、約3Torrから約6Torr、例えば、約3.5Torrの圧力に維持される。堆積プロセスの間、基板18は、約250℃未満、例えば、約100℃から約200℃、例えば、約180℃の温度に維持される。基板18は、約6.4mm(250ミル)から約13mm(500ミル)の、ガス分配器36aからの間隔に保持される。プラズマは、13.6MHzの周波数の電流をプロセス電極38a1、b1に約100ワットから約1200ワット、例えば、約350ワットの電力レベルで印加することによって維持される。その上、プラズマは、約350kHzからの周波数および約0ワットから約400ワット、例えば、約150ワットの電力レベルの低周波電力をプロセス電極38a1、b1にさらに印加することによって増強することができる。
他のバージョンでは、基板18は堆積チャンバ34aの堆積ゾーン30aに移送され、窒化ケイ素を含むキャッピング層が堆積される。このプロセスでは、基板18は、再度、堆積チャンバ34aの堆積ゾーン30aに移送される。シラン(SiH)を含むケイ素含有前駆体と、アンモニア(NH)を含む窒素含有ガスとを含む堆積ガス40aが、基板18が入っている堆積ゾーン30aに導入される。1つのバージョンでは、シランは、約10sccmから約1000mgm、例えば、約100sccmの流量で供給される。アンモニアは、約100から約6000sccm、例えば、約450sccmの流量で供給される。その上、窒素は、約1000から約10000sccm、例えば、約10000sccmの流量で供給することができる。堆積ゾーン30aのガス圧力は、約1Torrから約6Torr、例えば、約3.5Torrの圧力に維持される。堆積プロセスの間、基板18は、約250℃未満、例えば、約100℃から約200℃、例えば、約180℃の温度に維持される。基板18は、約5mm(およそ200ミル)から約13mm(およそ500ミル)の、ガス分配器36aからの間隔に保持される。プラズマは、13.6MHzの周波数の電流をプロセス電極38a1、b1に約10ワットから約100ワット、例えば、約75ワットの電力レベルで印加することによって維持される。さらなる別のバージョンでは、PECVD低温酸化物層を含む酸化物キャップが、シリコンプレート20に貫通孔44をエッチングする前にパッシベーション窒化ケイ素層の上に堆積される。図4Dは、基板18において上部表面46または貫通孔44の底部壁49に様々なプロセスで堆積された層の厚さを示すグラフである。
酸化物ライナ上のシーリング層
オプションとして、酸化物ライナ45の堆積の後、図1Hに示すように、酸化物ライナ45を密封するために、二酸化ケイ素または窒化ケイ素のシーリング層64を酸化物ライナ45の上に堆積させることができる。シーリング層64は下の酸化物ライナ45よりも高密度であり、例えば、約2g/cmから約3g/cmの密度を有し、酸化物ライナ45の密度よりも少なくとも約50%高い。シーリング層64は、さらに、優れた防湿バリアとして働くことが望ましい。好適なシーリング層64は、テトラエチルオルトシラン、酸素、およびヘリウム希釈物の使用などの従来のPECVDプロセスを使用して堆積される酸化ケイ素から製作することができる。このプロセスにおいて、プロセス条件は、3000mgmのTEOS、5500sccmの酸素、および6000sccmのHeのプロセスガス流を含む。チャンバは、約2Torrから約8Torr、例えば、約5.5Torrのガス圧力に維持される。堆積プロセスの間、基板18は、約100℃から約220℃、例えば、約180℃の温度に維持される。プラズマは、約200ワットから約1500ワットの電力レベルで電流をチャンバ電極に印加することによって維持される。結果として生じたプラズマは、約2g/cmから約3g/cm、例えば、約2.1g/cmから約2.5g/cmの密度を有する酸化ケイ素を含むシーリング層64を堆積させる。
シーリング層64の別の実施形態は低温プロセスで堆積される窒化ケイ素から製作され、酸化物層を覆う共形窒化ケイ素層を設けることができる。このシーリング層64は、酸化物ライナ45が比較的薄く、酸化物ライナ45を通して原子金属種を拡散させることがある場合に有用である。シーリング層64は、酸化物ライナ45とシーリング層64とによって画定された複合物層を通って金属原子などの金属種が拡散しないようにする拡散バリアを形成する。例えば、窒化ケイ素を含むシーリング層64は、プラズマ化学気相堆積によって堆積させることができる。好適なプロセスガスはSiH、NH、およびNから構成される。プロセスガスの成分は、以下の流量範囲の約10000sccmから約30000sccmで供給することができる。SiH対Nの体積流量比は少なくとも約1:10である。チャンバ圧力は、約2Torrから約8Torr、例えば、約3.5Torrとすることが望ましい。堆積プロセスの間、基板18は、約100℃から約200℃、例えば、約180℃の温度に維持される。プラズマは、約500ワットから約1600ワットの電力レベルで電流をチャンバ電極に印加することによって維持される。結果として生じたプラズマは、約2g/cmから約3g/cm、例えば約2.2g/cmの密度を有する窒化物層を堆積させる。
さらなる別のバージョンでは、シリコンプレート20の表面の化学機械研磨に対応するためにシーリング層64は十分な厚さに堆積される。シリコン層64の十分な厚さは、約1ミクロンから約6ミクロンを含む。タンクまたはシーリング層64は、以下で説明するような後続のエッチングプロセスの間にシリコンプレート20の表面46を過度にエッチングしないようにするために使用することができる。
酸化物ライナ45およびオプションとしてのシーリング層64の堆積の後、図2に示す通り、反応性イオンエッチング(RIE)プロセスを使用して、貫通孔44の底部壁49に形成された酸化物ライナ45をエッチング除去する。このプロセスにおいて、基板18は、前に説明し、図1Eに示したものと同様のエッチングチャンバ52に、または異なるエッチングチャンバに置かれ、従来の酸化物エッチングプロセスを使用して、貫通孔44の側壁48の酸化物ライナ45を過度にエッチング除去することなしに貫通孔44の底部壁49に形成された酸化物ライナ45をエッチング除去する。貫通孔44の底部壁49の酸化物ライナ45を除去して、貫通孔44に引き続き堆積される金属導体が下のフィーチャとの電気コンタクトを形成できるようにすることが望ましい。しかし、酸化物ライナ45は、金属含有種が側壁49を通ってシリコンプレート20に拡散しないように、またはケイ素含有種が他の方向に拡散しないように側壁48に保持されることが望ましい。
酸化物エッチングプロセスの1つの例では、フッ素含有ガスなどの酸化物エッチングガス80のプラズマがエッチングチャンバ52のエッチングゾーン50に形成され、貫通孔44の底部壁41の二酸化ケイ素層28をエッチング除去する。反応性イオンエッチングプロセスは、貫通孔44の側壁48の酸化物ライナ45と比較して、第2のプレート20の表面46の酸化物ライナ45ならびに貫通孔44の底部壁の酸化物ライナ45などの、突き当たるイオンに面する平坦表面上の酸化物ライナ45を優先的にエッチング除去する。1つの実施形態では、エッチングガスは、例えば、SF、CF、NF、C、CHFなどのようなフッ素含有ガスと、アルゴンなどの希釈ガスとを含む。1つのバージョンでは、貫通孔44の底部壁41の二酸化ケイ素層28は、約20から約1000sccm、またはさらに、例えば、約50から約400sccm、またはさらに約100から約200sccmの体積流量のSF、約20から約1000sccm、またはさらに約50から約400sccm、またはさらに約100から約200sccmの流量のC、および約50から約500sccm、またはさらに、例えば、約100sccmから300sccmの流量のアルゴンを含むエッチングガスを用いてエッチング除去される。エッチングガスは、単独で、または上述で列記したガスに加えて、約50から約1000sccm、またはさらに約150から約300sccmの流量のCHFと、約50から約2000sccm、またはさらに約200から約400sccmの流量のCFとをさらに含むことができる。エッチングガス54は、約1mTorrから約500mTorr、またはさらに約10から約100mTorr、例えば、約20から約40mTorrの圧力に維持される。プラズマは、13.6MHzの周波数の電流を約200ワットから約4000ワット、例えば、約300ワットから約1000ワットの電力レベルでプロセスゾーン50のまわりのプロセス電極56a、bに印加することによって保持される。その上、プラズマは、約1000ワットから約3000ワットの電力レベルで電力をアンテナにさらに印加することによって増強することができる。
その後、金属導体68が図2に示す通り貫通孔44に堆積される。金属導体は、1つまたは複数の金属の層、元素金属もしくはそれらの合金、金属化合物、またはさらにシード層を含むことができる。このプロセスにおいて、金属導体68を貫通孔44に堆積して、孔を充填し、導電性TSV60を形成する。金属導体68は、元素金属、金属合金、金属化合物、またはそれらの混合物とすることができる。金属導体68を貫通孔44に堆積して、孔を導電体で満たし、導電体は、現在のシリコンプレート20ならびに他のシリコンプレート(図示せず)の能動および受動フィーチャの2つ以上の層を接続するための相互接続部として働く。好適な金属導体47には、アルミニウム、銅、金、チタン、タングステン、ならびにそれらの合金および化合物を含む。
1つの実施形態では、金属導体68は、金属導体68のバルクの堆積に先立って堆積される金属バリア層を含む。金属バリア層(図示せず)は、バリア層を通過する金属またはケイ素種の拡散に対するバリアとして働く導電性材料の層を含む。好適なバリア層は、例えば、チタン、タンタル、または窒化チタンを含み、バリア層は従来のCVDプロセスまたは物理的気相堆積(PVD)プロセスで堆積させることができる。1つのバージョンでは、チタンの所望の組成物を含むスパッタリングターゲット72が、図1Iに示すように、スパッタリングチャンバ74内でつり下げられ、基板18はチャンバ内のスパッタリングゾーン77の支持体76上に置かれる。約1sccmから約100sccm、またはさらに約4sccmから約25sccm、またはさらに約11sccmの体積流量のアルゴンを含むスパッタリングガス78がチャンバ74に導入される。チャンバ圧力は、約0.2mTorrから約2mTorr、例えば、約2mTorrに維持される。スパッタリングプロセスの間、基板18は、ほぼ室温から100℃未満、例えば、約50℃の温度に維持される。プラズマは、スパッタリングターゲット72および支持体76に互いに対してバイアスをかけるように約10kWから約100kW、またはさらに約30kWから約40KWで電力レベルで電流を印加することによって維持される。結果として生じたプラズマは金属バリア層を堆積させ、金属バリア層は、一般に、約100オングストロームから約1ミクロン、またはさらに約1000から約4000オングストロームの厚さに堆積される。
バリア層の堆積の後、最初にシード層(やはり図示せず)を堆積させ、次にバルク電気メッキ金属を貫通孔44に電気メッキすることによって金属導体68を堆積させることができる。シード層を使用して、後続の電気メッキまたは他の金属堆積プロセス中にバルク金属導体の堆積をシードまたは開始する。1つの実施形態では、好適なシード層は、スパッタリングなどのPVDプロセスで堆積されたアルミニウムまたは銅の層を含む。1つの実施形態では、シード層は、スパッタリングなどのPVDプロセスで堆積された銅層を含む。1つのバージョンでは、銅の所望の組成物を含むスパッタリングターゲット72が、図1Iに示すように、スパッタリングチャンバ74内でつり下げられ、基板18はチャンバ内のスパッタリングゾーン77の支持体76上に置かれる。約2から約200sccm、またはさらに約4sccmから約25sccm、例えば、約11sccmの体積流量のアルゴンを含むスパッタリングガス78がチャンバ74に導入される。チャンバ圧力は、約0.1から約20mTorr、またはさらに約0.2mTorrから約2mTorr、例えば、約2mTorrに維持される。スパッタリングプロセスの間、基板18は、ほぼ室温から約100℃、例えば、約50℃の温度に維持される。プラズマは、スパッタリングターゲット72および支持体76に互いに対してバイアスをかけるように約10kWから約200kW、またはさらに約30kWから約40KWの電力レベルで電流を印加することによって維持される。結果として生じたプラズマは、銅の金属導体のシード層をシリコンプレート20の貫通孔44に堆積させる。シード層は、一般に、約2000オングストロームから約2ミクロンの厚さに堆積される。
シード層の堆積の後、図1Jに示すように、従来の電気メッキプロセスを使用して、金属導体68のバルクを貫通孔44に堆積させることができる。このプロセスによって形成された電気メッキ層は、以前に堆積されたシード層によってシードされる。このプロセスでは、貫通孔44をもつ基板18のシリコンプレート20は電気メッキ浴80につり下げられ、カソード電極82として働き、別の電極84も電気メッキ浴につり下げられる。基板18は、例えば、カソード電極82として帯電され、他の電極84はアノードとして働くことができる。電気メッキ浴80は金属イオンの溶液を含み、電力供給装置86により電流が電気メッキ浴を通過することによって金属イオンの溶液が活性化されると、金属導体68が貫通孔44に堆積される。例えば、銅を堆積させるのに好適な電気メッキ浴80は、水と酸(硫酸またはメタンスルホン酸など)とを含む溶液における1リットル当たり約30から約75グラムの濃度の第二銅イオンと、有機添加物(抑制剤、促進剤、平滑剤)および塩化物イオンなどの必要とされる任意の追加の添加物とを含む。電力供給装置86は、適切な電圧を電極82、84に供給することによってカソードに約0.1mA/cmから約20mA/cmの電流密度範囲の電流を供給する。電気メッキプロセスは、ビアを完全に充満するのに十分な時間、例えば、約10から約120分の間実行され、0.1ミクロンと10ミクロンとの間の、シリコンウエハの表面上の銅の追加の過剰層をもたらすことができる。別の実施形態では、アルミニウムを含む金属導体68が従来の電気メッキプロセスで貫通孔44に堆積される。
金属導体68がTSV60を形成するためにシリコンプレート20の貫通孔44に堆積された後、図2に示す通り、基板18はひっくり返され、シリコンプレート20の表面上の過剰な金属導体68が化学機械研磨(CMP)プロセスで研磨除去されて、シリコンプレート20の貫通孔44に堆積された金属導体68の上部部分が露出される。好適な化学機械研磨プロセスでは、シリコンプレート20の表面は、循環研磨機92に取り付けられた研磨パッド90で研磨される。研磨スラリ94は、研磨スラリ源98に接続されたスラリディスペンサ96で供給される。基板18は研磨パッド90に対して分離されるか、または回転されるので、シリコンプレート20の表面46上の過剰な金属導体は基板18から研磨除去される。好適な研磨スラリ94は、水溶液またはアルコール溶液中に懸濁された基体粒子を含む。化学機械研磨ステップは、表面46上の金属導体68のすべてが研磨除去されるまで行われる。研磨プロセスをさらに使用して、シリコンプレート25の表面38に依然として残っている酸化物ライナ45、窒化ケイ素パッシベーション層26、または他のそのような材料のいかなる残留物も除去することができる。その結果、金属導体47の上部部分は、今では、露出されて、金属コンタクト90として働く。
TSV裏側ビア露呈
研磨の後、図1Mに示すように、基板18をひっくり返して、貫通孔44の最上部の金属導体68の金属コンタクト90を露出させる。環境にさらされるときに、自然酸化膜91が、時には、TSV60の金属導体68の露出した金属コンタクト90の表面に形成されることがある。例えば、酸化銅を含む自然酸化膜99が銅などの金属導体68上に形成されることがあり、一方、酸化アルミニウムを含む自然酸化膜99がアルミニウムフィーチャ上に形成されることがある。これらの場合、図2に示す通り、自然酸化物処置プロセスを使用して自然酸化膜99を処置し、その膜を削減または除去して金属または非酸化物金属化合物を形成し、他の導体および相互接続とのより良好な電気コンタクトを可能にする。
1つの実施形態では、オプションの自然酸化物処置プロセスを使用して、露出した金属コンタクト90上に形成された自然酸化膜91を処置および除去し、金属コンタクト90を金属導体68のオリジナルの金属元素または金属化合物に変換する。例えば、酸化銅除去処置ステップを、銅を含む金属導体68に使用して、銅の上に形成された酸化銅膜を金属元素銅に還元する。例示の自然酸化物処置プロセスでは、基板18は、プロセスチャンバ93の還元ゾーン92中で約100℃から約220℃の温度に維持される。(i)アンモニア(NH)または水素(H2)などの還元ガスと、(ii)窒素などの希釈ガスとを含むプロセスガス94が還元ゾーン92に導入される。別の実施形態では、還元ガス94は、約100sccmから約3000sccmの体積流量のアンモニアまたは水素と、窒素なしか、または約10000から約20000sccmの体積流量の窒素のいずれかとを含む。プラズマを約5から約40秒の期間の間還元ガス94から形成して、還元プロセスによってTSVフィーチャ60の金属導体68上の自然酸化膜91を処置し、自然酸化膜の実質的にすべてを除去する。プラズマは、約13.6MHzからの一次周波数の電力を、例えば、約150から約1200ワットの電力レベルでプロセス電極96a、bに印加することによって発生される。その上、プラズマは、約350kHzの二次周波数および、例えば、約100から約300ワットの電力レベルでプロセス電極96a、bに電力供給することによってさらに増強することができる。
自然酸化膜91の除去の後、第2の窒化ケイ素パッシベーション層を含む保護被覆97が図2に示す通り基板18の露出した表面に堆積され、図1Oに示すように貫通孔44に堆積された金属導体の上部を覆う。オプションとして、図2に示す通りパッシベーション用窒化ケイ素層の堆積に先立ってシラン浸漬ステップを使用することができる。このステップにおいて、実質的にシラン(SiH)のみまたは希釈ガスを伴うシランを含む浸漬ガスを含むプロセスガス94がプロセスゾーン92に導入される。プロセスの間、基板18は、約5mm(約200ミル)から約16.5mm(約650ミル)の、ガス分配器95からの間隔距離に維持される。基板18は、さらに、約100℃から約220℃の温度に維持される。1つの実施形態では、浸漬ガスは、約100sccmから約1000sccmの体積流量のシランと、オプションとして、約10000から約25000sccmの流量の窒素とを含む。プラズマは、約13.6MHzの周波数および、例えば、約150から約1200ワットの電力レベルでの一次周波数電力を電極96a、bに印加することによってプロセスガスから形成される。その上、プラズマは、約350kHzの二次周波数および、例えば、約100から約300ワットの電力レベルで電極96a、bに電力供給することによってさらに増強することができる。プラズマを約5から約20秒の期間の間形成して、基板18の露出した表面をシランガスで浸漬し、基板表面に接着層を形成する。1つの実施形態では、例えば、シラン浸漬ステップを使用して、例えば、銅などの露出した金属導体68を浸漬する場合、シラン浸漬は、金属−Si、またはこの場合にはCu/SiN界面に沿ったCu−Si結合の形成によって接着性を増強する。界面接着エネルギーは、自然酸化物除去時間、浸漬時間、およびシラン流量と強力な相関関係がある。さらに、保護被覆97が、シリコンプレート20およびキャリア24の熱応力および反りを引き起こす過度に高い温度を避けるために低温プラズマ化学気相堆積プロセスで堆積される。保護被覆97は、さらに、金属原子がこの層を通って拡散して基板18の他の領域を汚染しないようにする良好な拡散バリアとなることができる。例えば、金属導体68が銅などの金属を含む場合、保護被覆97は、銅の拡散を防止し、さらに、良好な降伏電圧の電気分離および耐湿性を与えるように選択される。
別の実施形態では、保護被覆97は、図1Pに示すように、3つの異なる層98a、b、cを含む3重層被覆98を含む。1つのバージョンでは、3重層被覆98は窒化ケイ素の下部層98aを含み、下部層98aはTSVフィーチャ60中の金属導体からの金属原子に対する拡散バリアとして働き、さらに、基板18の上面の化学機械研磨ストップ層としても働くことができる。下部層98aは、約200から約1500オングストロームの厚さを有することができる。
下部層98aは、チャンバ93のプロセスゾーン92において基板18の洗浄および浸漬した表面に堆積させることができる。このプロセスでは、基板18は、約8mm(約300ミル)から約19mm(約750ミル)の、ガス分配器からの同じ間隔に維持される。基板18は、さらに、約100℃から220℃、例えば、約180℃の温度に維持される。シラン(SiH)などのケイ素含有ガスとアンモニア(NH)などの窒素含有ガスとを含むプロセスガス94がガス分配器95を通してチャンバ100に導入される。プラズマの形成を支援し、さらに、プラズマを安定化させることができる希釈ガスを、プロセスガスにさらに加えることができ、好適な希釈ガスには、窒素(N)、ヘリウム(He)、およびアルゴン(Ar)が含まれる。1つの実施形態では、プロセスガス94は、約50から約1000sccm、例えば、約650sccmの流量のシランと、約100sccmから約1000sccmの流量で供給されるアンモニアと、約500から約25000sccm、例えば、約22000sccmの流量の窒素などの希釈ガスとを含む。チャンバ圧力は、約2Torrから約5.5Torr、例えば、約3.5Torrに維持される。プラズマは、13.6MHzの周波数の電流をプロセス電極96a、bに約500ワットから約1600ワット、例えば、約1100ワットの電力レベルで印加することによって維持される。その上、プラズマは、約350kHzの周波数および約10ワットから約200ワットの電力レベルの低周波電力をさらに印加することによって増強することができる。結果として生じたプラズマは、約2g/cmから約3g/cm、例えば約2.2g/cmの密度を有する窒化ケイ素層からなる下部層98aを堆積させる。
下部層98aの堆積の後、酸化ケイ素からなる中間層98bが堆積される。例えば、中間層98bは高い降伏電圧、例えば、約4MV/cmよりも大きい、またはさらに約5MV/cmの降伏電圧を有する。中間層98bは、さらに、同じ基板18で異なる高さ、例えば、約1ミクロンから約20ミクロンの範囲にわたることがある高さを有するTSVビア60を覆うために、良好なステップカバレッジ、例えば、少なくとも約10%のステップカバレッジを有する。1つの実施形態では、中間層98bは、約0.5ミクロンから約3ミクロンの厚さを有する。
1つの実施形態では、酸化ケイ素を含む中間層98bは、(i)シラン(SiH)とテトラエチルオルトシラン(TEOS)とを含むケイ素含有ガス、および(ii)酸素(O)または一酸化二窒素(NO)を含む酸素含有ガスを含むプロセスガス94と、ヘリウム(He)またはアルゴン(Ar)などの希釈ガスとを使用して堆積された。基板18は、約5mm(約200ミル)から約16.5mm(約650ミル)の間隔、および約100℃から約220℃、例えば、約180℃の温度に維持される。1つのバージョンでは、プロセスガス94は、約500から約12000mgmの質量流量のテトラエチルオルトシランと、約50から約1000sccm、例えば、約500sccmの体積流量のシランと、約1000sccmから約15000sccmの流量の一酸化二窒素と、約2000sccmから約17000sccmの流量の酸素と、約1000から約15000sccmの流量のヘリウムとを含む。チャンバ圧力は、約2Torrから約7Torr、例えば、約2.4Torrに維持される。プラズマは、13.6MHzの周波数の電流をプロセス電極96a、bに約200ワットから約1500ワット、例えば、約650ワットの電力レベルで印加し、オプションとして、350kHzの周波数および約50から約500ワット、例えば、約150ワットの電力レベルの低周波電力を印加することによって形成される。結果として生じたプラズマは、約2g/cmから約3g/cm、例えば約2.2g/cmの密度を有する酸化ケイ素の中間層98bを堆積させる。
その後、窒化ケイ素を含む上部層98cが基板18上に形成され、3重層被覆98が完成する。上部層98cは、防湿バリア層ならびに化学機械研磨ストップ層として働くことができる。上部層98cは、良好な安定性と、ハーメチックシールと、CMP研磨をより容易にする、酸化物に対する低いウェットエッチング速度比とをさらに備える。上部層98cは、さらに、銅を含む金属導体へのCMP研磨で特に良好な適合性を備えていた。1つの実施形態では、上部層98cは、約0.5ミクロンから約3ミクロンの厚さを有する。さらに、上部層98cはより高い密度、例えば、少なくとも約2g/cm、または約2g/cmから約3g/cmの密度を有するように堆積させることができる。
窒化ケイ素層の上部層98cは、例えば、以下の体積流量、すなわち、1.4:1:40で供給されるSiH、NH、およびNを含むプロセスガスを使用して堆積させることができる。堆積プロセスの間、基板18は、約100℃から約220℃、例えば、約180℃の温度に維持される。チャンバ圧力は、約2Torrから約8Torr、例えば、約3.5Torrに維持される。プラズマは、約500ワットから約1600ワットの電力レベルで電流をチャンバ電極に印加することによって維持される。基板18は、約5.1mm(約200ミル)から約16.5mm(約650ミル)の間隔、および約100℃から約220℃、例えば、約180℃の温度に維持される。1つのバージョンでは、プロセスガス94は、約500から約12000mgmの質量流量のテトラエチルオルトシランと、約50から約1000sccm、例えば、約650sccmの体積流量のシランと、約1000sccmから約15000sccmの流量の一酸化二窒素と、約2000sccmから約17000sccmの流量の酸素と、約1000から約15000sccmの流量のヘリウムとを含む。チャンバ圧力は、約2Torrから約7Torrに維持される。プラズマは、13.6MHzの周波数の電流をプロセス電極96a、bに約200ワットから約1500ワットの電力レベルで印加し、オプションとして、約50から約500ワットの電力レベルの低周波電力を印加することによって形成される。結果として生じたプラズマは、約2g/cmから約3g/cm、例えば約2.2g/cmの密度を有する窒化ケイ素の上部層98cを堆積させる。
3重層構想の代わりに、保護被覆98は、酸化ケイ素または窒化ケイ素の十分な厚さの単層を含むこともできる。単層は上述のプロセスのいずれかを使用して堆積されるが、そのプロセスはより高い堆積厚さを得るために単により長い時間実行される。例えば、二酸化ケイ素の単層は、約0.1ミクロンから約6ミクロンの厚さを得るのに約10秒から約5分間実行される上述の酸化ケイ素堆積プロセスを使用して堆積させることができる。同様に、窒化ケイ素の単層は、約0.1ミクロンから約6ミクロンの厚さを得るのに約10秒間から約5分間実行される上述の窒化ケイ素堆積プロセスを使用して堆積させることができる。
第3の実施形態では、保護被覆98は2つの層、すなわち、酸化ケイ素層の堆積が後に続く窒化ケイ素層を含む。第3の実施形態は、酸化ケイ素層全体を研磨または研削除去し、窒化ケイ素層で研磨または研削プロセスを停止することができるようにする。例えば、窒化ケイ素層は、約0.1ミクロンから約6ミクロンの厚さを得るのに10秒から約5分間堆積させることができ、その後、二酸化ケイ素層は、約0.1ミクロンから約6ミクロンの厚さを得るのに約10秒から約5分間堆積させることができる。
第4の実施形態では、保護被覆98は、防湿バリアの窒化ケイ素層の堆積が後に続く酸化ケイ素層を含む。再度、例えば、二酸化ケイ素層は、約0.1ミクロンから約6ミクロンの厚さを得るのに約10秒から約5分間堆積させることができ、その後、窒化ケイ素層は、約0.1ミクロンから約6ミクロンの厚さを得るのに約10秒から約5分間堆積させることができる。
さらなる別のバージョンでは、ポリイミド層99がシリコンプレート20の表面の上に堆積し、コネクタバンプ116はポリイミド層99を通って延び、下のTSV60の金属導体68と接触し、金属導体68と電気接続を形成する。例えば、コネクタバンプ116は、元素金属、例えば、銅などの元素金属、もしくはその合金、またはその金属化合物もしくは混合物から形成することができる。一般に、コネクタバンプ116は、TSV60を形成するために使用されるものと同じ金属導体68から形成される。その後、保護被覆97が、ポリイミド層99ならびにコネクタバンプ116の上に、これらの表面のパッシベーションのためにおよび防湿バリアを設けるために堆積される。好適な保護被覆97は、前に説明したように堆積される窒化ケイ素を含む。
TSV60が製造された後、図2に示す通り基板18が剥離され、シリコンプレート20がキャリア24から分離される。典型的な剥離プロセスでは、最終のシリコンプレート20は熱機械活性化スライドオフ技法(thermo−mechanical activated slide−off technique)を使用してキャリア24から取り外される。この技法は、接合材料の軟化点よりも高い温度に基板18を加熱している間、基板18の後面に形成されたフィーチャおよびトポグラフィを保護するためにコンプライアントチャッキングシステム(compliant chucking system)を使用する。剥離のために、基板18は、コンプライアント真空チャッキングシステム(図示せず)を用いて両側を適切な剥離モジュールに固定され、均一に加熱される。剥離温度に達すると、シリコンプレート20はキャリア24から滑らせて離される。剥離モジュールは、プレート20およびキャリアの両方をプレート20およびキャリアの全区域にわたって全面的に支持して、剥離手順の間プレート20およびキャリアを平坦かつ応力フリーに保つ。プレート20とキャリアとが分離された後、TSVフィーチャを上にもつ薄いシリコンプレート20は単一ウエハ洗浄チャンバに移送され、そこで、残っている接着剤は適切な溶媒を用いてプレート20の裏側から除去される。
その後、シリコンプレート20は、図2に示す通り従来のダイ切断法を使用して切断され、シリコンプレート20上に形成された個々の電子回路、例えば、集積回路チップ、表示器、太陽電池などが分離される。ダイ切断された電子回路は、拡散接合などの従来の接合法を使用して互いにまたは他の電子回路に接合され、垂直方位に積み重ねられた複数の電子回路を含む多層スタックが形成される。
基板処理チャンバ
例えば、図2の流れ図に示される窒化ケイ素および二酸化ケイ素堆積プロセスを含む様々なパッシベーションおよびライナ堆積プロセスを含む本明細書で説明するプロセスは、基板処理チャンバ100内で行うことができ、その例証の例示的な実施形態が図7に示される。本明細書で説明する堆積プロセスのいくつかまたはすべて、および自然酸化物の洗浄およびシラン浸漬などの事前堆積プロセスはこのチャンバ内で実施することができるが、しかし、エッチングプロセスは、一般に、従来のエッチングプロセスチャンバ内で実施される。チャンバ100は例示のチャンバを示すために与えられているが、しかし、当業者にとって明らかなように、他のチャンバを使用することもできる。したがって、本発明の範囲は、本明細書で説明する例示のチャンバに限定されるべきでない。一般に、チャンバ100は、基板18(シリコンウエハなどの)を処理するのに好適なプラズマ化学気相堆積(PE−CVD)チャンバである。
本明細書で説明する実施形態から利益を得るように構成することができるPECVDシステムの例には、PRODUCER(登録商標) SE CVDシステム、PRODUCER(登録商標) GT(商標) CVDシステム、またはDXZ(登録商標) CVDシステムが含まれ、それらのすべては、Applied Materials, Inc.、サンタクララ、カリフォルニア州から市販されている。Producer(登録商標) SE CVDシステム(例えば、200mmまたは300mm)は、窒化ケイ素層、酸化ケイ素層、または他の層(導体膜、炭素ドープ酸化ケイ素、および他の材料を含む)などの層を基板18(チャンバ内に図示せず)に堆積させるのに使用することができる2つの分離されたプロセスゾーン102A、Bを有し、米国特許第5,855,681号および第6,495,233号に記載されており、その両方は参照により組み込まれる。DXZ(登録商標) CVDチャンバは米国特許第6,364,954号に開示されており、それも参照により組み込まれる。例示的な実施形態は2つのプロセスゾーンを含んでいるが、本明細書で説明する実施形態を使用して、単一の処理領域または2つを超えるプロセスゾーンを有するシステムに利点をもたらすことができることが考えられる。本明細書で説明する実施形態を利用して、とりわけ、エッチングチャンバ、イオン注入チャンバ、プラズマ処置チャンバ、および剥離チャンバを含む他のプラズマチャンバに利点をもたらすことができることも考えられる。本明細書で説明する実施形態を利用して、他の製造業者から入手できるプラズマ処理チャンバに利点もたらすことができることがさらに考えられる。
基板処理チャンバ100は、側壁112、底部壁116、ならびに1対のプロセスゾーン120Aおよび120Bを画定する内部側壁101を有する処理チャンバ本体102を含む。プロセスゾーン120A−Bの各々は同様に構成されており、簡単のために、処理領域120Bの構成要素のみを説明する。
チャンバ100は能動冷却システムを有する基板支持体128を含み、能動冷却システムは、基板が多数のプロセスおよびチャンバ条件にさらされている間、広い温度範囲にわたって基板支持体128に位置づけられた基板の温度を能動的に制御できるようにする。基板支持体128は、システム100の底部壁116に形成された通路122を通して処理領域120Bに配置される。基板支持体128は、その上部表面で基板(図示せず)を支持するように構成される。基板支持体128は、所望のプロセス温度に基板温度を加熱および制御するために加熱要素、例えば、抵抗要素を含むことができる。代替として、基板支持体128は、ランプアセンブリなどの遠隔加熱要素で加熱することができる。
基板支持体128はシャフト126によって電力アウトレットまたは電力ボックス103に結合され、電力アウトレットまたは電力ボックス103は処理領域120B内で基板支持体128の上昇および移動を制御するドライバシステムを含むことができる。シャフト126は、基板支持体128に電力を供給するための電力インターフェースをさらに含む。電力ボックス103は、熱電対インターフェースなどの電力および温度指示器用のインターフェースをさらに含む。シャフト126は、電力ボックス103に着脱可能に結合するように構成された基部アセンブリ129をさらに含む。外周リング135が電力ボックス103の上に示される。1つの実施形態では、外周リング135は、基部アセンブリ129と電力ボックス103の上部表面との間に機械的インターフェースを備えるように構成された機械的ストップまたはランドとして構成された肩部である。ロッド130は底部壁116に形成された通路124を通して配置され、基板支持体128を通して配置された基板リフトピン161を作動させるために利用される。基板リフトピン161は基板と基板支持体128との間隔を選択的にあけて、基板移送ポート160を介して処理領域120Bに基板を出し入れするために利用されるロボット(図示せず)による基板の交換を容易にする。
チャンバ100の基板支持体128は、全プロセスサイクルにわたっていつでも処理の間基板18を能動的に温度制御できるようにする。本明細書で説明するいくつかの実施形態は、400℃未満、またはさらに250℃未満の温度で低温制御を行い、一方、他の実施形態は、特有の要素パターンによる最小温度勾配(<10℃)の埋込み加熱要素を使用して400℃より上の温度のより高い温度の制御を行う。本明細書で説明するいくつかの実施形態は、RF結合のような外部源または埋込み加熱要素などの内部源のいずれかからのより大きい熱負荷(例えば、2000ワットより上)を、基板支持体128の本体を通して能動冷却材を流すことによって除去することができる。本明細書で説明するいくつかの実施形態は、基板支持体128の本体を通る冷却材の流量とともにヒータ要素の能動制御によってより低い所望の温度勾配を提供する。
本明細書で説明するいくつかの実施形態は、基板が多数のプロセスおよびチャンバ条件(例えば、ヒータ面板、チャンバ内で当たる結合RF、プロセスガス、化学作用など)にさらされている間、広範囲にわたり基板の温度を能動的に制御する能力を備える。能動温度制御は、2つの能動温度フラックスにより達成することができ、第1に、熱はろう付け/埋込み加熱要素を介して基板支持体128に供給され、第2に、熱は内部冷却材経路を介して基板支持体128から除去される。したがって、基板支持体128の表面(基板が載る)の温度は、これらの2つのフラックスのレベルを制御することによって所望の温度設定点に制御することができる。熱の増加は、より多くの電力を加熱要素に送り出し、冷却材の流量を減少させる(または冷却剤入口温度を低下させる)ことによって発生させることができ、または逆を行って、より冷たい基板支持体温度を達成することができる。より広い温度制御範囲は、熱源(加熱要素からの内部の、またはチャンバもしくはプロセス条件からの外部の)と熱排出(内部能動冷却材)との間の相互作用を制御することにより達成される。1つの実施形態では、これは、基板18が載る支持体表面の近くに支持体本体内の加熱要素を位置づけて、達成することができる最高温度を最大化し、かつシャフトの下部本体内の冷却チャネルを所望の量の熱を排出する高さに位置づけることによって達成される。
本明細書で説明するいくつかの実施形態は、さらに、制御される温度の範囲にわたって温度均一性を10℃以内に制御する能力を提供する。1つの実施形態では、これは、上述のように、冷却チャネルを基準にして加熱要素を位置づけ、さらに、加熱要素と冷却チャネルとの間に位置づけられた空隙を利用して熱流の経路をさらに制御することによって達成することができる。1つの実施形態では、冷却チャネル、空隙、および加熱要素の配置により、わずか1インチの千分の5の支持体表面の最大平面撓みがもたらされ、このことにより、処理中に基板18が滑る可能性が低減する。
1つの実施形態では、基板支持体128はアルミニウム合金を含む。1つの実施形態では、アルミニウム合金は、アルミニウム6061などのマグネシウムとケイ素とを含むアルミニウム合金である。アルミニウム合金は3つの重要な特徴、すなわち、1)熱源から冷却材までの熱流の相互作用に寄与する高い熱伝導率、2)様々な機械加工技法で処理することができる適応力(例えば、シャフトアセンブリをろう付けして中間高さに冷却チャネルを組み込むこと、支持体表面をビードブラストして放射熱損失を増加させること、被覆チャネルをニッケルメッキして硬水を流すことができるようにすること)、および3)生成ためのコストの低減を備える。
チャンバリッド104は、チャンバ本体102の上部部分に結合される。リッド104は、リッド104に結合される1つまたは複数のガス分配システム108を収容する。ガス分配システム108は、反応ガスおよび洗浄ガスをシャワーヘッドアセンブリ142を通して処理領域120Bに送出するガス入口通路140を含む。シャワーヘッドアセンブリ142は、面板146までの中間に配置されたブロッカプレート144を有する環状ベースプレート148を含む。高周波(RF)源165がシャワーヘッドアセンブリ142に結合される。RF源165はシャワーヘッドアセンブリ142に電力を供給して、シャワーヘッドアセンブリ142の面板146と加熱される基板支持体128との間のプラズマ発生を容易にする。1つの実施形態では、RF源165は、13.56MHzRFジェネレータなどの一次周波数高周波(HFRF)電源とすることができる。別の実施形態では、RF源165は、HFRF電源と、300kHzのRFジェネレータなどの二次周波数高周波(LFRF)電源とを含むことができる。代替として、RF源は基板支持体128などの処理チャンバ本体102の他の部分に結合して、プラズマ発生を容易にすることができる。RF電力がリッド104に伝導しないように、誘電性分離体158がリッド104とシャワーヘッドアセンブリ142との間に配置される。シャドウリング106を、基板支持体128の所望の高さで基板を係合する基板支持体128の周辺に配置することができる。
オプションとして、動作の間環状ベースプレート148を冷却するために、冷却チャネル147がガス分配システム108の環状ベースプレート148に形成される。水、エチレングリコール、ガスなどのような熱移送流体が冷却チャネル147を通って循環し、その結果、ベースプレート148は既定の温度に維持することができる。
側壁101、112が処理領域120B内の処理環境にさらされないようにするために、チャンバライナアセンブリ127が、処理領域120B内でチャンバ本体102の側壁101、112の極めて近くに近接して配置される。ライナアセンブリ127は外周排気空洞125を含み、外周排気空洞125は、処理領域120Bからガスおよび副生成物を排気し、かつ処理領域120B内の圧力を制御するように構成された排出システム164に結合される。複数の排気口131はチャンバライナアセンブリ127に形成することができる。排気口131は、システム100内の処理を促進するように処理領域120Bから外周排気空洞125へのガスの流れを可能にするように構成される。
図8Aは、基板処理チャンバ100で利用される基板支持体128の1つの実施形態の等角上面図である。基板支持体128は、シャフト126と、円形の基板支持体128の反対側の基部アセンブリ129とを含む。1つの実施形態では、シャフト126は管状部材、すなわち、中空シャフトとして構成される。1つの実施形態では、基部アセンブリ129は、電力アウトレットまたは電力ボックス103の中または上に配置された電気接続部への着脱可能対合インターフェースとして利用される。基板支持体128は、実質的に平面である基板受取り支持体表面210を囲む周囲出っ張り205を含む。支持体表面210は、200mm基板、300mm基板、または450mm基板を支持するように構成することができる。1つの実施形態では、支持体表面210は複数の構造体215を含み、構造体215は支持体表面210の面の上に延びるバンプまたは突起とすることができる。複数の構造体215の各々の高さは実質的に等しく、支持体表面210からわずかに持ち上げられるかまたは間隔をあけられた実質的に平面の基板受取り面または表面が設けられる。1つの実施形態では、構造体215の各々は、支持体表面210の材料と異なる材料で形成または被覆される。基板支持体128は、基板支持体128を通して形成された複数の開口220をさらに含み、開口220はリフトピン161を受け入れるように構成される(図7)。
1つの実施形態では、基板支持体128およびシャフト126の本体は導電性金属材料で製作され、一方、基部アセンブリ129は導電性金属材料と絶縁性材料との組合せで製作される。基板支持体128を導電性金属材料から製造すると、セラミックスで製作された基板支持体128と比較して、所有コストが低下する。さらに加えて、導電性金属材料は、埋込みヒータ(この図に図示せず)をRF電力から遮蔽する役目をする。これにより、基板支持体128の効率および耐用年数が向上し、このことにより所有コストを低減する。
1つの実施形態では、基板支持体128およびシャフト126の本体は単にアルミニウム合金などのアルミニウム材料で製作される。特定の実施形態では、基板支持体128およびシャフトは両方とも6061アルミニウムで製作される。1つの実施形態では、基部アセンブリ129は、アルミニウム部分と、その中に配置されたポリエーテルエーテルケトン(PEEK)樹脂などの絶縁部分とを含み、絶縁部分は基板支持体128およびシャフト126の導電性部分から基部アセンブリ129の一部を電気的に絶縁する。1つの実施形態では、基板支持体128の本体はアルミニウム材料から製作され、一方、支持体表面210に配置される構造体215の各々は酸化アルミニウムなどのセラミック材料で製作または被覆される。
1つの実施形態では、基板支持体128の支持体表面210は織り目が出される。支持体表面210は、当技術分野で既知の技法、例えば、ビードブラスチング、エッチバックプロセス、またはそれらの組合せを使用して織り目を出すことができる。1つの実施形態では、基板支持体128の織り目を出した支持体表面210の平方2乗平均(「RMS」)粗さは、約0.75ミクロンから約6ミクロン、例えば、約1.5ミクロンと約5ミクロンとの間、例えば、約2ミクロンとすることができる。
図8Bは、基板支持体128の1つの実施形態の等角下面図である。シャフト126は、基板支持体128に結合された第1の端部212と、基板支持体128の反対側の基部アセンブリ129に結合された第2の端部214とを含む。この実施形態では、基部アセンブリ129は、誘電体プラグ230に結合されている、かつ/または誘電体プラグ230を含むスロット付き導電性部分225を含む。1つの実施形態では、スロット付き導電性部分225は、電力ボックス103(図7)と対合するように構成されたプラグまたは雄インターフェースとして構成することができる。図8Bに示した実施形態において、導電性部分225は断面が円形であり、少なくとも部分的に外側表面または壁を通して形成されたスロットを有することができる。誘電体プラグ230はソケットまたは雌インターフェースとして構成されるか、または代替として、電力ボックス103内の電気接続部を受け入れるか、または電気接続部と対合するように構成されたソケットまたは雌インターフェースとして構成される1つまたは複数の部分を含むことができる。1つの実施形態では、スロット付き導電性部分225はシャフト126の一体化延長部であり、アルミニウム材料から製作することができ、一方、誘電体プラグ230はPEEK樹脂で製作される。
基部アセンブリ129は、図7に示した電力ボックス103とのインターフェースとなるOリング240を受け取るように構成された外周リング135をさらに含む。この実施形態では、スロット付き導電性部分225は誘電体プラグ230を受け取るように構成された開口を含み、誘電体プラグ230はスロット付き導電性部分225に締結される。誘電体プラグ230は、電力ボックス103からの電気リード線を受け取るように誘電体プラグ230内に形成された開口またはソケットをさらに含む。
図9Aは、基板支持体128の1つの実施形態の底部概略図である。誘電体プラグ230は、冷却材を冷却チャネルに送出するための冷却チャネル入口302と、冷却材を冷却チャネルから取り出すための冷却チャネル出口304と、導電性プラグ320とを有する。
図9Bは、能動冷却システムを有する基板支持体128の1つの実施形態の図9Aのライン9B−9Bに沿って取った断面側面図である。図9Cは、図9Bの基板支持体128の1つの実施形態の拡大断面図である。1つの実施形態では、能動冷却システムは抵抗ヒータアセンブリ305、冷却チャネルアセンブリ306、および熱制御間隙308を含む。抵抗ヒータ305は、基板支持体128の導電性本体300に配置されるか、またはカプセル化される。1つの実施形態では、導電性本体300は、アルミニウムなどの導電性金属からなる材料で製作される。
冷却チャネルアセンブリ306は、冷却チャネル307と、冷却チャネル入口302と、冷却チャネル出口304と、熱移送流体、すなわち「冷却材」を冷却チャネルアセンブリに供給するための流体再循環器309とを有する。1つの実施形態では、冷却チャネル307は、シャフト126の本体に位置づけられ、シャフト126の中空部分を取り巻くリング形チャネルである。図9Eを参照すると、冷却チャネル307は、上部壁350、反対側の下部壁352、内側周囲壁354および外側周囲壁356によって画定される。1つの実施形態では、冷却チャネル307は、シャフト126の中空部分の直径を取り巻く連続リングである。いくつかの実施形態では、冷却チャネル307は、シャフト126の中空部分の一部のみを取り巻く局部的リングである。
1つの実施形態では、冷却チャネル入口302は、基板支持体128のシャフト126を通って延びる軸方向チャネルである。冷却チャネル入口302の第1の端部は流体再循環器309に結合され、冷却チャネル入口302の第2の端部は冷却チャネル307に流体的に結合される。1つの実施形態では、冷却チャネル出口304は、基板支持体128のシャフト126を通って延びる軸方向チャネルである。冷却チャネル出口304の第1の端部は冷却チャネル307に結合され、冷却チャネル出口の第2の端部は流体再循環器309に結合される。
動作時に、熱移送流体は再使用され、流体再循環器309によって冷却チャネルアセンブリ306に連続的に送り出され得る。いくつかの実施形態では、熱移送流体は、冷却チャネル入口302に入る前に事前選択された温度に流体再循環器309によって加熱または冷却することができる。例えば、流体再循環器309は、熱移送流体を冷却チャネルアセンブリ306に送り出すためのポンプ(図示せず)と、熱移送流体を冷却または加熱する冷却器またはヒータ(やはり図示せず)と、温度を所望のレベルに維持するために熱移送流体の温度をモニタして冷却器またはヒータを制御するためのサーモスタット(やはり図示せず)とを含むことができる。流体再循環器309は、流体圧力をモニタするための圧力計と、流量を制御するためのゲージおよびバルブと、簡単にするために説明しない熱移送流体の流れを制御するための他の構成要素とをさらに含むことができる。動作時に、熱移送流体は冷却チャネルアセンブリ306の冷却チャネル入口302に供給される。熱移送流体は冷却チャネル入口302に送り込まれ、基板支持体128の導電性本体300を加熱または冷却する(熱移送流体と基板支持体128との相対温度に応じて)ために冷却チャネル306を通って流れ、冷却チャネル出口304から取り出されるか、または排出される。
1つの実施形態では、熱移送流体は水、エチレングリコール、ガスなどを含むことができる。1つの実施形態では、熱移送流体は、水とエチレングリコールとの混合物、例えば、50%の水と50%のエチレングリコールとの混合物を含む。いくつかの実施形態では、別個の貯蔵容器を冷却チャネル出口304に結合して、使用する冷却材を貯蔵することができる。図9Dに示すように、冷却チャネル入口302および冷却チャネル出口304は、シャフト126の本体によって電力リード線315a、315bから分離される。
1つの実施形態では、熱制御間隙308は、熱の流れの経路をさらに制御するために、基板支持体128の導電性本体300に位置づけられ、シャフト126の中空部分を取り巻く。基板支持体128のシャフト126を通る熱移送流体の流れは、支持体表面210の中心に局所化コールドスポットを作り出し、熱制御間隙308は基板支持体128の支持体表面210の中心のまわりの熱抵抗を増加させ、このことによって、低温スプレッダとして働く。図9Cを参照すると、熱制御間隙308は、上部壁312、反対側の下部壁313、および熱制御間隙308を囲む周囲壁314によって形成される。1つの実施形態では、周囲壁314は円形であり、したがって、熱制御間隙308に円形形状を与える。熱制御間隙308は、能動冷却システムのための所望の量の熱制御を可能にする他の形状を有することもできる。例えば、熱制御間隙308は、楕円形、正方形、長方形、および非均一形状などの他の形状から選択された形状を有することができる。1つの実施形態では、熱制御間隙308は、約2インチ(5.1cm)と約6インチ(15.2cm)との間の直径を有する。1つの実施形態では、熱制御間隙308は、約3インチ(7.6cm)と約4インチ(10.2cm)との間の直径を有する。熱制御間隙308の直径は所望の量の熱制御を行うために変更することができる。所望の量の熱制御を行うために、熱制御間隙308の上部壁と下部壁の間の距離(例えば、高さ)を変更することもできる。1つの実施形態では、熱制御間隙308の高さは、約0.1インチ(0.3cm)と約1インチ(2.5cm)との間である。別の実施形態では、熱制御間隙308の高さは、約0.4インチ(1cm)と約0.5インチ(1.3cm)との間である。
1つの実施形態では、抵抗ヒータ305の上面は、基板支持体128の支持体表面210から約0.10インチ(0.3cm)と約0.80インチ(2cm)との間に位置づけられる。別の実施形態では、抵抗ヒータ305の上面は、基板支持体128の支持体表面210から約0.15インチ(0.4cm)と約0.20インチ(0.5cm)との間に位置づけられる。1つの実施形態では、熱制御間隙308の上部壁312は、基板支持体128の支持体表面210から約0.5インチ(1.3cm)と約1.5インチ(3.8cm)との間に位置づけられる。別の実施形態では、熱制御間隙308の上部壁は、基板支持体128の支持体表面210から約0.9インチ(2.3cm)と約1.2インチ(3.0cm)との間に位置づけられる。1つの実施形態では、冷却チャネル307の上部壁350は、基板支持体128の支持体表面210から約3インチ(7.6cm)と約5インチ(12.7cm)との間に位置づけられる。別の実施形態では、冷却チャネル307の上部壁350は、基板支持体128の支持体表面210から約4インチ(10.2cm)と約4.5インチ(11.4cm)との間に位置づけられる。
1つの実施形態では、冷却チャネル307は抵抗加熱要素305から距離「X」に位置づけられる。1つの実施形態では、熱制御間隙308の上部壁312は抵抗ヒータ305の底面から距離「Y」に位置づけられる。1つの実施形態では、熱制御間隙308の下部壁313は冷却チャネル307から距離「Z」に位置づけられる。1つの実施形態では、「X」、「Y」、および「Z」は、所望の量の熱を基板支持体128から排出するように選択される。
図9Dは、本明細書で説明する能動冷却システムを有する基板支持体128の1つの実施形態の図9Aのライン9D−9Dに沿って取った断面側面図である。図9Dに示すように、シャフト126は、図7に示したような電力アウトレットまたは電力ボックス103に結合される。抵抗ヒータ305は、シャフト126に配置された導電性リード線315a、315bによって電力ボックス103に配置された電源310に結合される。シャフト126は、熱電対(図示せず)を受け入れるように構成された軸方向チャネルまたは孔350をさらに含む。この実施形態では、誘電体プラグ230は、導電性リード線315を電力ボックス103に配置された1つまたは複数のそれぞれのソケット326a、326bに結合させるために、誘電体プラグ230内に配置された1つまたは複数の導電性プラグ320を含む。1つの実施形態では、導電性プラグ320はマルチコンタクトプラグである。導電性リード線315および導電性プラグ320は、動作の間、電気的にバイアスをかけることができるが、誘電体プラグ230の周囲壁325によって、スロット付き導電性部分225、シャフト126、および基板支持体128から電気的に分離される。
1つの実施形態では、シャフト126および基板支持体128はアルミニウムで製作され、電気的に接地される。アルミニウム材料は加熱要素をカプセル化し、抵抗ヒータ305にとって効果的なRF遮蔽の働きをする。アルミニウム材料によるRF遮蔽により、セラミックなどの異なる材料で製作された加熱基板支持体128では必要とされることがある、抵抗ヒータ305へのRF結合をフィルタ除去するための帯域通過フィルタの必要性が除かれる。導電性プラグ320を抵抗ヒータ305用の電力端子として使用する電気インターフェースの設計により、電力ボックス103からの標準ゲージワイヤおよびコネクタが、特注設計の電気コネクタとは対照的に使用できるようになる。導電性プラグ320は、PEEK樹脂を含む特有の基部構造に取り付けられる。導電性プラグ320は電力端子アセンブリを含み、電力端子アセンブリは、基部アセンブリ129の導電性部分225に締結する誘電体プラグ230によって機械的に支持される。PEEK樹脂は、電気が通じる電力端子(導電性プラグ320)を、接地されたヒータ本体(基板支持体128およびシャフト126)に対して電気的に絶縁する。したがって、基板支持体128は帯域通過フィルタを除くことによってコストを最小にし、アルミニウム材料を利用して、所有コストを大幅に低減する。さらに、本明細書で説明する基板支持体128は、既存のチャンバのオリジナルの基板支持体128を取り替えるのに広範囲な再設計および/または休止時間なしに改造することができる。
図10Aは、抵抗ヒータ305の1つの実施形態の概略上面図である。図10Bは、抵抗ヒータ305の1つの実施形態の概略側面図である。1つの実施形態では、抵抗ヒータ305は加熱要素410を含む。図10Aに示すように、加熱要素410は、基板熱損失に一致し、基板熱損失を補償する放射加熱プロファイルを与えるために抵抗ヒータ305の中心部に中心高密度パターンを設けるようにパターン化される。例えば、図9Dを参照すると、加熱要素410は、導電性支持体本体300のエッジと比較して導電性支持体本体300の中心の近くで互いに一層近接した間隔とすることができる。シャフト126を通る冷却材の流れは、支持体表面210のエッジと比べて支持体表面210の中心にコールドスポットを作り出す。中心が近接しているように示しているが、加熱要素410は、基板損失熱プロファイルのいかなる変化も包含するように構成することができることが理解されるべきである。例えば、加熱要素410は、基板損失プロファイルとより密接に一致させるために、サイズ、間隔、抵抗、入力電力などを変更することによって可変量の熱出力を供給するように構成することができる。
表2は、本明細書で説明した能動冷却システムを使用する基板支持体128の熱および構造モデリングシミュレーションを要約している。入口温度[℃]は、熱移送流体が冷却チャネルアセンブリに入るときの熱移送流体の入口温度を表す。出口温度[℃]は、熱移送流体が能動冷却アセンブリを出ていくときの熱移送流体の出口温度を表す。体積流量[GPM]は、冷却チャネルアセンブリを通って流れる冷却材のガロン/分を表す。温度設定点[℃]は、抵抗ヒータの設定点温度を表す。温度勾配[℃]は、本明細書で説明した能動冷却システムを使用する基板支持体128の支持体表面の高温と低温との間の温度差を表す。最大変形[ミル]は、基板支持体128の最大平面撓みを表す。撓みは2つのモードを有し、第1に、基板支持体128の支持体表面および導電性本体が反ることがあり、第2に、基板支持体128のシャフトが、内側流体と外側流体との間の温度変化に起因して傾斜することがある。最大変形結果が示すところによれば、本明細書で示した実施形態は、わずか1インチの千分の5(5ミル)の支持体表面の最大平面撓みがもたらされることがある。
本明細書で説明したチャンバ100および基板支持体128は、プロセスサイクルの全体を通じて能動温度制御を行うことによって基板の低温処理を改善する。基板支持体128は、さらに、400℃未満、またはさらに250℃未満の温度で良好な温度制御を行うことができ、一方、他の実施形態は400℃より上の温度でより高い温度の制御を行う。例えば、基板支持体128は、RF結合のような外部源または埋込み加熱要素などの内部源のいずれかからのより大きい熱負荷(例えば、2000ワットより上)を、基板支持体128の本体を通して能動冷却材を流すことによって除去することができる。基板支持体128は、さらに、基板支持体128の本体を通る冷却材の流量とともにヒータ要素の能動制御によってより低い所望の温度勾配を与える。これにより、基板支持体128は、基板が多数のプロセスおよびチャンバ条件(例えば、ヒータ面板、チャンバに達する結合RF、プロセスガス、化学作用など)にさらされている間、広範囲のプロセスおよびプラズマ条件に対して基板の温度を能動的に制御することができるようになる。能動温度制御は、2つの能動温度フラックスにより達成することができ、第1に、熱はろう付け/埋込み加熱要素を介して基板支持体128に供給され、第2に、熱は内部冷却材経路を介して基板支持体128から除去される。したがって、基板支持体128の表面(基板が載る)の温度は、これらの2つのフラックスのレベルを制御することによって所望の温度設定点に制御することができる。
本発明の例示の実施形態を図示および説明したが、当業者は、本発明を組み込み、かつ本発明の範囲内にある他の実施形態を考案することができる。さらに、「下方に(below)」、「上方に(above)」、「底部(bottom)」、「上部(top)」、「上に(up)」、「下に(down)」、「第1の(first)」、および「第2の(second)」という用語、ならびに他の相対的または位置的用語は例示の実施形態に関連して図に示されており、交換可能である。したがって、添付の特許請求の範囲は、本発明を示すのに本明細書で説明した好ましいバージョン、材料、または空間的配置の説明に限定されるべきでない。

Claims (15)

  1. (a)シリコンプレートに複数の貫通孔をエッチングするステップと、前記貫通孔は側壁および底部壁を含み、
    (b)前記シリコンプレートの表面ならびに前記貫通孔の前記側壁および前記底部壁に、
    (i)プロセス電極およびガス分配器を含むプロセスゾーンに前記シリコンプレートを準備するステップと、
    (ii)前記シリコンプレートを約250℃未満の温度に維持するステップと、
    (iii)テトラエチルオルトシランを含むケイ素含有ガス、および酸素ガスを含む酸素前駆体を含む堆積ガスを前記プロセスゾーンに導入するステップと、
    (iv)前記プロセス電極に第1の周波数で電流を印加することによってプラズマを形成するために前記堆積ガスにエネルギーを与えるステップと
    によって酸化物ライナを堆積させるステップと、
    (c)金属導体を前記貫通孔内に堆積させるステップと
    を含むシリコン貫通ビア製造方法。
  2. ステップ(a)における前記貫通孔が少なくとも約10:1のアスペクト比を有する、請求項1に記載の方法。
  3. 前記シリコンプレートが約100℃から約250℃の温度に維持される、請求項1に記載の方法。
  4. (b)が、
    (1)前記テトラエチルオルトシランを約400mg/分と約12000mg/分との間の質量流量で導入すること、または
    (2)前記堆積ガスを約2000sccmと約17000sccmとの間の質量流量で導入すること
    の少なくとも一方を含む、請求項1に記載の方法。
  5. (b)が、
    (1)前記堆積ガスを約2Torrと約8Torrとの間の圧力に維持すること、ならびに
    (2)前記プロセス電極に、約100ワットから約1200ワットの電力レベルでの前記第1の周波数、および400ワットまでの電力レベルでの二次周波数で電流を印加することによってプラズマを形成すること
    の少なくとも一方を含む、請求項1に記載の方法。
  6. ステップ(b)の後で、およびステップ(c)の前に、シーリング層が前記酸化物ライナの上に堆積され、前記シーリング層が二酸化ケイ素または窒化ケイ素を含む、請求項1に記載の方法。
  7. ステップ(c)の後に、前記シリコンプレートの前記貫通孔内に堆積された前記金属導体の上部部分を露出させるために、前記基板をひっくり返し、前記シリコンプレートの裏面を化学機械研磨することをさらに含む、請求項1に記載の方法。
  8. ステップ(c)の後に、前記貫通孔内の前記金属導体の前記露出した部分に形成された自然酸化膜を、
    (i)1対の還元プロセス電極を含む還元プロセスゾーンに前記シリコンプレートを準備することと、
    (ii)前記シリコンプレートを約100℃から約220℃の還元温度に維持することと、
    (iii)前記還元プロセスゾーン内にアンモニアまたは水素を含む還元ガスを導入することと、還元ガスの体積流量は約100sccmと約3000sccmとの間にあり、
    (iv)約13.6MHzの一次周波数および約150から約1200ワットの電力レベルで前記還元プロセス電極に電力を印加することと
    によって除去すること
    をさらに含む、請求項1に記載の方法。
  9. 前記自然酸化膜の除去の後に、
    (i)1対の浸漬プロセス電極を含む浸漬プロセスゾーン中に前記基板を準備することと、
    (ii)前記基板を約100℃から約220℃の浸漬温度に維持することと、
    (iii)約100sccmと約1000sccmとの間の体積流量のシランを含む浸漬ガスを前記プロセスゾーン内に導入することと、
    (iv)約13.6MHzの一次周波数および約150と約1200ワットとの間の電力レベルで前記浸漬プロセス電極に電力を印加することと
    を含むシラン浸漬ステップ
    をさらに含む、請求項8に記載の方法。
  10. (a)シリコンプレートに複数の貫通孔をエッチングすることと、前記貫通孔は側壁および底部壁を含み、
    (b)前記シリコンプレートの表面ならびに前記貫通孔の前記側壁および前記底部壁に、
    (i)プロセス電極およびガス分配器を含むプロセスゾーンに前記シリコンプレートを準備することと、
    (ii)テトラエチルオルトシランを含むケイ素含有ガス、および酸素ガスを含む酸素前駆体を含む堆積ガスを前記プロセスゾーン内に導入することと、
    (iii)前記プロセス電極に第1の周波数で電流を印加することによってプラズマを形成するために前記堆積ガスにエネルギーを与えることと
    によって酸化物ライナを堆積させることと、
    (c)金属導体を前記貫通孔内に堆積させることと、
    (d)プラズマを形成するために還元ガスにエネルギーを与えることによって前記貫通孔内の前記金属導体の前記露出した部分に形成された自然酸化膜を除去することと、前記還元ガスは、約100sccmと約3000sccmとの間の体積流量のアンモニアまたは水素、および約10000sccmと約20000sccmとの間の体積流量の窒素を含み、
    を含むシリコン貫通ビア製造方法。
  11. (a)シリコンプレートに複数の貫通孔をエッチングすることと、前記貫通孔は側壁および底部壁を含み、
    (b)前記シリコンプレートの表面ならびに前記貫通孔の前記側壁および前記底部壁に、
    (i)プロセス電極およびガス分配器を含むプロセスゾーン内に前記シリコンプレートを準備することと、
    (ii)テトラエチルオルトシランを含むケイ素含有ガス、および酸素ガスを含む酸素前駆体を含む堆積ガスを前記プロセスゾーン内に導入することと、
    (iii)前記プロセス電極に第1の周波数で電流を印加することによってプラズマを形成するために前記堆積ガスにエネルギーを与えることと
    によって酸化物ライナを堆積させることと、
    (c)金属導体を前記貫通孔内に堆積させることと、
    (d)(c)の後に、
    (i)前記基板を約100℃から約220℃の温度に維持することと、
    (ii)プラズマを形成するために浸漬ガスにエネルギーを与えることと、前記浸漬ガスは約100sccmと約1000sccmとの間の体積流量のシランを含み、
    を含むシラン浸漬ステップを行うことと
    を含むシリコン貫通ビア製造方法。
  12. 前記浸漬ガスが約1000から約25000sccmの流量の窒素を含む、請求項9または11に記載の方法。
  13. 前記シラン浸漬の後に、前記貫通孔内に堆積された前記金属導体の上に保護被覆を堆積させることをさらに含む、請求項9または11に記載の方法。
  14. (1)約0.5ミクロンから約6ミクロンの厚さで設けられる、酸化ケイ素または窒化ケイ素の単一層、
    (2)窒化ケイ素層および酸化ケイ素層、または
    (3)(i)約200Åから約1500Åの厚さの窒化ケイ素層を含む下部層、(ii)約0.5ミクロンから約3ミクロンの厚さの酸化ケイ素からなる中央層、および(iii)約0.5ミクロンから約3ミクロンの厚さの窒化ケイ素を含む上部層
    のうちの少なくとも1つを含む保護被覆を堆積させることをさらに含む、請求項9または11に記載の方法。
  15. (a)前面、その中の1つまたは複数のフィーチャ、および裏面を有するシリコンプレートを形成することと、
    (b)前記シリコンプレートを支持するためのキャリアを準備することと、
    (c)前記シリコンプレートの前記裏面を露出するために、前記シリコンプレートの前記前面を前記キャリアに、それらの間の接着層を使用して接合することによって基板を形成することと、
    (d)前記基板の前記シリコンプレートの前記露出した裏面に窒化ケイ素パッシベーション層を堆積させることと、
    (e)前記シリコンプレート内に複数の貫通孔をエッチングすることと、前記貫通孔は側壁および底部壁を含み、
    (f)複数のシリコン貫通ビアを形成するために金属導体を前記貫通孔内に堆積させることと
    を含むシリコン貫通ビア製造方法。
JP2013546200A 2010-12-22 2011-12-09 シリコンウエハのシリコン貫通ビアの製造 Pending JP2014507065A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/977,060 US8329575B2 (en) 2010-12-22 2010-12-22 Fabrication of through-silicon vias on silicon wafers
US12/977,060 2010-12-22
PCT/US2011/064179 WO2012087613A2 (en) 2010-12-22 2011-12-09 Fabrication of through-silicon vias on silicon wafers

Publications (2)

Publication Number Publication Date
JP2014507065A true JP2014507065A (ja) 2014-03-20
JP2014507065A5 JP2014507065A5 (ja) 2015-02-05

Family

ID=46314739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013546200A Pending JP2014507065A (ja) 2010-12-22 2011-12-09 シリコンウエハのシリコン貫通ビアの製造

Country Status (6)

Country Link
US (2) US8329575B2 (ja)
JP (1) JP2014507065A (ja)
KR (1) KR20140014119A (ja)
CN (1) CN103348461A (ja)
TW (1) TW201250882A (ja)
WO (1) WO2012087613A2 (ja)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009055450A1 (en) * 2007-10-25 2009-04-30 Applied Materials, Inc. Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8487410B2 (en) * 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8816505B2 (en) * 2011-07-29 2014-08-26 Tessera, Inc. Low stress vias
SE538062C2 (sv) * 2012-09-27 2016-02-23 Silex Microsystems Ab Kemiskt pläterad metallvia genom kisel
US9508674B2 (en) 2012-11-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
US10020187B2 (en) * 2012-11-26 2018-07-10 Applied Materials, Inc. Apparatus and methods for backside passivation
US9157014B2 (en) 2012-11-29 2015-10-13 Micron Technology, Inc. Adhesives including a filler material and related methods
US9564398B2 (en) 2013-03-12 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical direct pattern plating interconnect metallization and metal structure produced by the same
TWI578592B (zh) * 2013-03-12 2017-04-11 應用材料股份有限公司 有機發光二極體元件及包括其之封裝結構的沉積方法
US8945978B2 (en) * 2013-06-28 2015-02-03 Sunpower Corporation Formation of metal structures in solar cells
US20150017798A1 (en) * 2013-07-11 2015-01-15 United Microelectronics Corp. Method of manufacturing through-silicon-via
US9362111B2 (en) 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US9613842B2 (en) * 2014-02-19 2017-04-04 Globalfoundries Inc. Wafer handler and methods of manufacture
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) * 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
JP6710783B2 (ja) * 2016-06-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高出力プラズマエッチングプロセスのためのガス分配プレートアセンブリ
US10541218B2 (en) * 2016-11-29 2020-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layer structure and fabrication method therefor
CN110178201B (zh) * 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
JP2019057634A (ja) * 2017-09-21 2019-04-11 東芝メモリ株式会社 半導体装置の製造方法
JP7133975B2 (ja) * 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11427912B2 (en) * 2018-06-25 2022-08-30 Applied Materials, Inc. High temperature rotation module for a processing chamber
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
IT201900006740A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
USD884855S1 (en) * 2019-10-30 2020-05-19 Applied Materials, Inc. Heater pedestal
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183224A (ja) * 1993-11-15 1995-07-21 Applied Materials Inc 薄膜形成方法
JPH09199501A (ja) * 1995-10-02 1997-07-31 Applied Materials Inc SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置
JP2002176047A (ja) * 2000-09-26 2002-06-21 Applied Materials Inc プラズマ誘発損傷を減少させる方法
JP2006191153A (ja) * 2006-03-28 2006-07-20 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2008235480A (ja) * 2007-03-19 2008-10-02 Fujitsu Ltd 半導体装置の製造方法
JP2010010338A (ja) * 2008-06-26 2010-01-14 Spansion Llc 半導体装置及びその製造方法
JP2010161215A (ja) * 2009-01-08 2010-07-22 Sharp Corp 半導体装置及びその製造方法
JP2010212694A (ja) * 1998-11-17 2010-09-24 Applied Materials Inc プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US6040198A (en) * 1995-11-30 2000-03-21 Fujitsu Limited Element concentration measuring method and apparatus, and semiconductor device fabrication method and apparatus
US5573962A (en) 1995-12-15 1996-11-12 Vanguard International Semiconductor Corporation Low cycle time CMOS process
US5795820A (en) * 1996-07-01 1998-08-18 Advanced Micro Devices Method for simplifying the manufacture of an interlayer dielectric stack
US5691215A (en) 1996-08-26 1997-11-25 Industrial Technology Research Institute Method for fabricating a sub-half micron MOSFET device with insulator filled shallow trenches planarized via use of negative photoresist and de-focus exposure
US5691250A (en) 1996-08-29 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming a metal contact to a novel polysilicon contact extension
US5710076A (en) 1996-09-03 1998-01-20 Industrial Technology Research Institute Method for fabricating a sub-half micron MOSFET device with global planarization of insulator filled shallow trenches, via the use of a bottom anti-reflective coating
US5858882A (en) 1997-03-24 1999-01-12 Vanguard International Semiconductor Corporation In-situ low wafer temperature oxidized gas plasma surface treatment process
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US20010000160A1 (en) 1997-08-14 2001-04-05 Infineon Technologies Ag Method for treatment of semiconductor substrates
US6177350B1 (en) 1998-04-14 2001-01-23 Applied Materials, Inc. Method for forming a multilayered aluminum-comprising structure on a substrate
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6274426B1 (en) 1999-02-25 2001-08-14 Taiwan Semiconductor Manufacturing Company Self-aligned contact process for a crown shaped dynamic random access memory capacitor structure
US6277757B1 (en) 1999-06-01 2001-08-21 Winbond Electronics Corp. Methods to modify wet by dry etched via profile
US6426285B1 (en) 1999-11-03 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to solve intermetallic dielectric cracks in integrated circuit devices
US6352938B2 (en) * 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6503851B2 (en) 2000-08-31 2003-01-07 Micron Technology, Inc. Use of linear injectors to deposit uniform selective ozone TEOS oxide film by pulsing reactants on and off
US6555467B2 (en) 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6492245B1 (en) 2001-10-16 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming air gap isolation between a bit line contact structure and a capacitor under bit line structure
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US7411352B2 (en) * 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
KR100497111B1 (ko) * 2003-03-25 2005-06-28 삼성전자주식회사 웨이퍼 레벨 칩 스케일 패키지, 그를 적층한 적층 패키지및 그 제조 방법
WO2004109770A2 (en) 2003-06-05 2004-12-16 Oticon A/S Through wafer via process and amplifier with through wafer via
US7049230B2 (en) * 2003-11-26 2006-05-23 Hynix Semiconductor Inc. Method of forming a contact plug in a semiconductor device
JP4408713B2 (ja) * 2004-02-03 2010-02-03 Necエレクトロニクス株式会社 半導体装置の製造方法
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US7429529B2 (en) 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7414315B2 (en) * 2005-10-31 2008-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene structure with high moisture-resistant oxide and method for making the same
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7371695B2 (en) * 2006-01-04 2008-05-13 Promos Technologies Pte. Ltd. Use of TEOS oxides in integrated circuit fabrication processes
US7524755B2 (en) * 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US8263497B2 (en) 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US8344513B2 (en) * 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
KR100937945B1 (ko) * 2009-08-05 2010-01-21 주식회사 아토 반도체 소자의 제조 방법
US7943514B2 (en) * 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8927433B2 (en) 2009-12-18 2015-01-06 Electronics And Telecommunications Research Institute Conductive via hole and method for forming conductive via hole
GB0922647D0 (en) * 2009-12-24 2010-02-10 Aviza Technologies Ltd Methods of depositing SiO² films
US20110318502A1 (en) 2009-12-24 2011-12-29 Spp Process Technology Systems Uk Limited Methods of depositing sio2 films
US8492816B2 (en) * 2010-01-11 2013-07-23 International Business Machines Corporation Deep trench decoupling capacitor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183224A (ja) * 1993-11-15 1995-07-21 Applied Materials Inc 薄膜形成方法
JPH09199501A (ja) * 1995-10-02 1997-07-31 Applied Materials Inc SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置
JP2010212694A (ja) * 1998-11-17 2010-09-24 Applied Materials Inc プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去
JP2002176047A (ja) * 2000-09-26 2002-06-21 Applied Materials Inc プラズマ誘発損傷を減少させる方法
JP2006191153A (ja) * 2006-03-28 2006-07-20 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2008235480A (ja) * 2007-03-19 2008-10-02 Fujitsu Ltd 半導体装置の製造方法
JP2010010338A (ja) * 2008-06-26 2010-01-14 Spansion Llc 半導体装置及びその製造方法
JP2010161215A (ja) * 2009-01-08 2010-07-22 Sharp Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
CN103348461A (zh) 2013-10-09
US20120164827A1 (en) 2012-06-28
US20120164829A1 (en) 2012-06-28
WO2012087613A3 (en) 2012-08-16
WO2012087613A2 (en) 2012-06-28
US8329575B2 (en) 2012-12-11
US8283237B2 (en) 2012-10-09
TW201250882A (en) 2012-12-16
KR20140014119A (ko) 2014-02-05

Similar Documents

Publication Publication Date Title
US8283237B2 (en) Fabrication of through-silicon vias on silicon wafers
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
US8563095B2 (en) Silicon nitride passivation layer for covering high aspect ratio features
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
US9362111B2 (en) Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US9748093B2 (en) Pulsed nitride encapsulation
US20060189138A1 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
KR102417914B1 (ko) 반도체 프로세싱을 위한 대기 플라즈마 장치
KR101894613B1 (ko) 플라즈마 에칭 방법
CN1643651A (zh) 多室基材处理系统中执行的整合原位蚀刻制程
KR20120025543A (ko) 성막 방법, 전 처리 장치 및 처리 시스템
US7091133B2 (en) Two-step formation of etch stop layer
US6573181B1 (en) Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
CN101996941B (zh) 制造半导体装置的方法
US11424158B2 (en) Metal liner passivation and adhesion enhancement by zinc doping
JP2018107427A (ja) 半導体装置の製造方法、真空処理装置及び基板処理装置
KR100852520B1 (ko) 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
US20060051973A1 (en) Method for forming IMD films
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置
TW202225442A (zh) 間隙填充的非晶碳
US20140199833A1 (en) Methods for performing a via reveal etching process for forming through-silicon vias in a substrate

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160329