IT201900006736A1 - Procedimenti di fabbricazione di package - Google Patents

Procedimenti di fabbricazione di package Download PDF

Info

Publication number
IT201900006736A1
IT201900006736A1 IT102019000006736A IT201900006736A IT201900006736A1 IT 201900006736 A1 IT201900006736 A1 IT 201900006736A1 IT 102019000006736 A IT102019000006736 A IT 102019000006736A IT 201900006736 A IT201900006736 A IT 201900006736A IT 201900006736 A1 IT201900006736 A1 IT 201900006736A1
Authority
IT
Italy
Prior art keywords
substrate
package
layer
resist
chemical etching
Prior art date
Application number
IT102019000006736A
Other languages
English (en)
Inventor
Han-Wen Chen
Steven Verhaverbeke
Giback Park
Giorgio Cellere
Diego Tonini
Vincent Dicaprio
Kyuil Cho
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to IT102019000006736A priority Critical patent/IT201900006736A1/it
Priority to US16/687,567 priority patent/US11264331B2/en
Priority to US16/746,711 priority patent/US10886232B2/en
Priority to PCT/US2020/026874 priority patent/WO2020231545A1/en
Priority to CN202080034788.1A priority patent/CN113811994A/zh
Priority to JP2021566586A priority patent/JP7386902B2/ja
Priority to KR1020237041469A priority patent/KR20230169442A/ko
Priority to KR1020217040365A priority patent/KR102610674B1/ko
Priority to US16/841,766 priority patent/US11417605B2/en
Priority to TW109114878A priority patent/TW202107636A/zh
Priority to JP2021566585A priority patent/JP7350890B2/ja
Priority to CN202080034003.0A priority patent/CN113795910A/zh
Priority to US16/870,843 priority patent/US11264333B2/en
Priority to PCT/US2020/032245 priority patent/WO2020231871A1/en
Priority to KR1020217040372A priority patent/KR102651523B1/ko
Priority to TW109115574A priority patent/TWI797446B/zh
Priority to TW112107529A priority patent/TWI838146B/zh
Priority to US17/005,955 priority patent/US11398433B2/en
Priority to US17/005,905 priority patent/US11476202B2/en
Publication of IT201900006736A1 publication Critical patent/IT201900006736A1/it
Priority to KR1020210043866A priority patent/KR20210124920A/ko
Priority to TW110112309A priority patent/TW202209620A/zh
Priority to CN202110372367.6A priority patent/CN113496984A/zh
Priority to US17/227,983 priority patent/US11715700B2/en
Priority to US17/227,811 priority patent/US11521935B2/en
Priority to US17/872,731 priority patent/US20220359409A1/en
Priority to US18/075,141 priority patent/US11887934B2/en
Priority to US18/362,433 priority patent/US20240021533A1/en
Priority to JP2023147957A priority patent/JP2023179475A/ja
Priority to JP2023193793A priority patent/JP2024023298A/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4864Cleaning, e.g. removing of solder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/242Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use
    • H01Q1/243Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use with built-in antennas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4673Application methods or materials of intermediate insulating layers not specially adapted to any one of the previous methods of adding a circuit layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/107Indirect electrical connections, e.g. via an interposer, a flexible substrate, using TAB
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1076Shape of the containers
    • H01L2225/1088Arrangements to limit the height of the assembly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0237High frequency adaptations
    • H05K1/0243Printed circuits associated with mounted high frequency components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/14Related to the order of processing steps
    • H05K2203/1476Same or similar kind of process performed in phases, e.g. coarse patterning followed by fine patterning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/107Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by filling grooves in the support with conductive material
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4007Surface contacts, e.g. bumps
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4611Manufacturing multilayer circuits by laminating two or more circuit boards
    • H05K3/4623Manufacturing multilayer circuits by laminating two or more circuit boards the circuit boards having internal via connections between two or more circuit layers before lamination, e.g. double-sided circuit boards

Description

DESCRIZIONE dell’invenzione industriale dal titolo:
“Procedimenti di fabbricazione di package”
SFONDO
CAMPO
Le forme di attuazione della presente descrizione sono relative in generale ai procedimenti e alle apparecchiature per formare package a semiconduttore. Più specificamente, le forme di attuazione qui descritte sono relative a procedimenti e ad apparecchiature per strutturare package a semiconduttore con un fattore di forma sottile (“thin-formfactor”).
DESCRIZIONE DELLA TECNICA RELATIVA
A causa della domanda in continua crescita di componenti e dispositivi elettronici miniaturizzati, i circuiti integrati sono evoluti in dispositivi complessi in 2.5D e 3D che possono comprendere milioni di transistori, condensatori e resistori su un singolo chip. L’evoluzione del progetto dei chip ha avuto come risultato una maggiore densità circuitale per migliorare la capacità dei processi e la velocità dei circuiti integrati. La richiesta di capacità di elaborazione più veloce con maggiori densità circuitali impone richieste corrispondenti sui materiali, sulle strutture e sui processi usati nella fabbricazione di tali chip a circuiti integrati. Insieme a queste tendenze verso una maggiore integrazione e maggiori prestazioni, tuttavia, esiste la ricerca costante di costi di fabbricazione ridotti.
Tradizionalmente, i chip a circuiti integrati sono stati fabbricati su substrati di package organici grazie alla facilità di formare le caratteristiche e le connessioni attraverso di essi, così come ai costi di fabbricazione dei package relativamente bassi associati ai composti organici. Tuttavia, all’aumentare delle densità dei circuiti e alla miniaturizzazione ulteriore dei dispositivi elettronici, l’utilizzo di substrati di package organici diventa impraticabile a causa delle limitazioni nella risoluzione di strutturazione dei materiali per sostenere la variazione di scala (“scaling”) dei dispositivi e i requisiti di prestazioni associati. Più recentemente, sono stati fabbricati circuiti integrati in 2.5D e in 3D utilizzando interpositori (“interposer”) di silicio passivi posti su substrati di package organici come strati di ridistribuzione per compensare alcune delle limitazioni associate ai substrati di package organici. L’utilizzo di interpositori di silicio è guidato dal potenziale di una densità di larghezza di banda elevata, una comunicazione da chip a chip con potenza inferiore e requisiti di integrazione eterogenei in applicazioni avanzate di realizzazione di package (“packaging”). Tuttavia, la formazione di caratteristiche negli interpositori di silicio, come i via passanti attraverso il silicio (TSV, “Through-Silicon Via”), è ancora difficile e costosa. In particolare, sono imposti costi elevati dall’incisione chimica (“etching”) dei via di silicio con alto rapporto di aspetto (“aspect-ratio”), dalla planarizzazione chimica meccanica e dall’interconnessione di BEOL (Back End of Line) a semiconduttore.
Perciò, ciò che è necessario nella tecnica sono procedimenti perfezionati di strutturazione di un substrato per applicazioni avanzate di realizzazione di package.
SINTESI
In una forma di attuazione, è fornito un procedimento di formazione di un dispositivo a semiconduttore. Il procedimento comprende di strutturare un substrato per formare un frame del package avente una o più cavità e uno o più via. Uno o più die sono posti all’interno dell’una o più cavità e un package di resina epossidica è formato intorno al frame del package avente i die al suo interno. Una o più interconnessioni sono formate attraverso il package e uno strato di ridistribuzione è formato sopra il package per ricollocare uno o più punti di contatto delle interconnessioni in posizioni desiderate su una superficie del package.
BREVE DESCRIZIONE DEI DISEGNI
Affinché possa essere compreso in dettaglio il modo in cui le caratteristiche elencate in precedenza della presente descrizione, una descrizione più particolare della descrizione, riassunta brevemente in precedenza, può essere fatta con riferimento alle forme di attuazione, alcune delle quali sono illustrate nei disegni annessi. È da notare, tuttavia, che i disegni annessi illustrano soltanto esempi di forme di attuazione e perciò che non sono da considerare limitativi del suo ambito, e possono ammettere altre forme di attuazione ugualmente efficaci.
La Figura 1 illustra un diagramma di flusso di un processo per formare un package a semiconduttore, secondo una forma di attuazione qui descritta.
La Figura 2 illustra un diagramma di flusso di un processo per strutturare un substrato per formare un package a semiconduttore, secondo una forma di attuazione qui descritta.
Le Figure da 3A a 3D illustrano schematicamente viste in sezione trasversale di un substrato in stadi differenti del processo di strutturazione del substrato rappresentato nella Figura 2.
Le Figure da 4A a 4F illustrano schematicamente viste in sezione trasversale di un substrato in stadi differenti di formazione delle caratteristiche e di rimozione dei danni successiva, secondo una forma di attuazione qui descritta.
Le Figure da 5A a 5F illustrano schematicamente viste in sezione trasversale di un substrato in stadi differenti di formazione delle caratteristiche e di rimozione dei danni successiva, secondo una forma di attuazione qui descritta.
Le Figure da 6A a 6E illustrano schematicamente viste in sezione trasversale di un substrato in stadi differenti di formazione delle caratteristiche e di rimozione dei danni successiva, secondo una forma di attuazione qui descritta.
Le Figure da 7A a 7D illustrano schematicamente viste in sezione trasversale di un substrato in stadi differenti di formazione delle caratteristiche e di rimozione dei danni successiva, secondo una forma di attuazione qui descritta.
La Figura 8 illustra una vista schematica dall’alto di un substrato strutturato usando i processi rappresentati nelle Figure 2, da 3A a 3D, da 4A a 4F, da 5A a 5F, da 6A a 6E e da 7A a 7D secondo una forma di attuazione qui descritta.
La Figura 9 illustra un diagramma di flusso di un processo per formare un assieme di die embedded avente dei via passanti attraverso l’assieme (“through-assembly via”) e fori di contatto, secondo una forma di attuazione qui descritta.
Le Figure da 10A a 10H illustrano schematicamente viste in sezione trasversale dell’assieme di die embedded in stadi differenti del processo di formazione rappresentato nella Figura 9.
La Figura 11 illustra un diagramma di flusso di un processo per formare interconnessioni e un primo strato di ridistribuzione su un assieme di die embedded, secondo una forma di attuazione qui descritta.
Le Figure da 12A a 12G illustrano schematicamente viste in sezione trasversale dell’assieme di die embedded in stadi differenti di formazione delle interconnessioni e di un primo strato di ridistribuzione come rappresentato nella Figura 11.
La Figura 13 illustra un diagramma di flusso di un processo per formare un secondo strato di ridistribuzione su un assieme di die embedded seguito da una singolazione (“singulation”) dei package, secondo una forma di attuazione qui descritta.
Le Figure da 14A a 14L illustrano schematicamente viste in sezione trasversale di un assieme di die embedded in stadi differenti di formazione di un secondo strato di ridistribuzione seguita da una singolazione dei package, come rappresentato nella Figura 13.
Per facilitare la comprensione, sono stati usati numeri di riferimento identici, dove possibile, per indicare elementi identici che sono comuni alle figure. È contemplato che elementi e caratteristiche di una forma di attuazione possono essere incorporati vantaggiosamente in altre forme di attuazione senza una esposizione ulteriore.
DESCRIZIONE DETTAGLIATA
La presente descrizione è relativa a procedimenti e ad apparecchiature per formare un package a semiconduttore con un fattore di forma sottile. In una forma di attuazione, un substrato di vetro o di silicio è strutturato mediante microsabbiatura (“micro-blasting”) per formare strutture per la formazione di interconnessioni attraverso di esso. In un’altra forma di attuazione, un substrato di vetro o di silicio è strutturato mediante realizzazione del disegno (“patterning”) laser diretta. Il substrato è utilizzato in seguito come un frame per formare una pluralità di package a semiconduttore con die embedded al loro interno.
La Figura 1 illustra un diagramma di flusso di un procedimento 100 rappresentativo della formazione di un package a semiconduttore con fattore di forma sottile. Il procedimento 100 ha operazioni multiple 110, 120, 130 e 140. Ciascuna operazione è descritta in maggiore dettaglio con riferimento alle Figure da 2 a 14L. Il procedimento può includere una o più operazioni aggiuntive che sono realizzate prima di una qualsiasi delle operazioni definite, tra due delle operazioni definite, o dopo tutte le operazioni definite (eccetto quando il contesto esclude la possibilità). Non tutte le forme di attuazione comprendono tutte le operazioni descritte.
In generale, il procedimento 100 comprende di strutturare un substrato da usare come un frame per la realizzazione dei package in un’operazione 110, descritta ulteriormente in maggiore dettaglio con riferimento alle Figure 2, da 3A a 3D, da 4A a 4F, da 5A a 5F, da 6A a 6E, da 7A a 7D e 8. In un’operazione 120, è formato un assieme di die embedded avente uno o più die embedded, descritto in maggiore dettaglio con riferimento alle Figure 9 e da 10A a 10H. In un’operazione 130, una o più interconnessioni sono formate attraverso l’assieme di die embedded per costruire un primo strato di ridistribuzione e per interconnettere gli insiemi di frame-die embedded, descritto in maggiore dettaglio con riferimento alle Figure 11 e da 12A a 12G. In un’operazione 140, un secondo strato di ridistribuzione è formato sul primo strato di ridistribuzione per ricollocare i punti di contatto delle interconnessioni in posizioni desiderate sulla superficie dell’assieme di die embedded. In alcune forme di attuazione, possono essere formati uno o più strati di ridistribuzione in aggiunta al primo e al secondo strato di ridistribuzione prima che package individuali siano sottoposti a singolazione dall’assieme di die embedded, descritto in maggiore dettaglio con riferimento alle Figure 13 e da 14A a 14L.
La Figura 2 illustra un diagramma di flusso di un procedimento 200 rappresentativo per strutturare un substrato da utilizzare come un frame durante la formazione di un package a semiconduttore. Le Figure da 3A a 3D illustrano schematicamente viste in sezione trasversale di un substrato 302 in stadi differenti del processo di strutturazione del substrato 200 rappresentato nella Figura 2. Perciò, la Figura 2 e le Figure da 3A a 3D sono descritte qui insieme per chiarezza.
Il procedimento 200 comincia in un’operazione 210 e in corrispondenza della Figura 3A. Il substrato 302 è formato da un qualsiasi materiale di substrato adatto comprendendo silicio, silicio cristallino (per es., Si<100> o Si<111>), ossido di silicio, silicio germanio, silicio drogato o non drogato, polisilicio drogato o non drogato, nitruro di silicio, quarzo, vetro borosilicato e vetro, ma non essendo limitati a questi. In una forma di attuazione, il substrato 302 è un substrato di silicio di tipo p monocristallino. In un’altra forma di attuazione, il substrato 302 è un substrato solare di silicio. A meno che sia indicato altrimenti, forme di attuazione ed esempi qui descritti sono condotti su substrati che hanno uno spessore tra circa 50 µm e circa 600 µm, come tra circa 100 µm e circa 400 µm. Per esempio, il substrato 302 ha uno spessore tra circa 125 µm e circa 300 µm, come uno spessore tra circa 150 µm e circa 180 µm.
Prima dell’operazione 210, il substrato 302 può essere suddiviso in fette (“slice”) e separato da un materiale bulk mediante scribing e rottura, taglio a sega meccanico o taglio laser. La suddivisione in fette (“slicing”) causa tipicamente deformità meccaniche alle superfici del substrato formate da essa, come incrinatura, scheggiatura e altri difetti meccanici. Così, il substrato 302 è esposto a un primo processo di rimozione dei danni in un’operazione 210 per rendere regolari e planari le sue superfici e per rimuovere i difetti meccanici in preparazione di successive operazioni di strutturazione e di realizzazione del package.
Il processo di rimozione dei danni nell’operazione 210 comprende di esporre il substrato 302 a un processo di incisione chimica seguito da processi di lavaggio e di essiccamento. In una forma di attuazione, il processo di incisione chimica è un processo di incisione chimica a umido che utilizza un processo di incisione chimica tamponata selettivo per un materiale desiderato. In altre forme di attuazione, il processo di incisione chimica è un processo di incisione chimica a umido che utilizza un processo di incisione chimica acquosa diluita. Per il processo di incisione chimica può essere usato un qualsiasi agente di attacco a umido o una combinazione qualsiasi di agenti di attacco a umido. In una forma di attuazione, il substrato 302 è immerso in una soluzione di incisione chimica di HF acquosa per l’incisione chimica. In altre forme di attuazione, il substrato 302 è immerso in una soluzione di incisione chimica di KOH acquosa per l’incisione chimica. In una forma di attuazione, la soluzione di incisione chimica è scaldata a una temperatura tra circa 30 ºC e circa 100 ºC durante il processo di incisione chimica, come tra circa 40 ºC e 80 ºC. Per esempio, la soluzione di incisione chimica è scaldata a una temperatura di circa 50 ºC. In una forma di attuazione, lo spessore del substrato 302 è modulato controllando il tempo di esposizione del substrato 302 alla soluzione di incisione chimica. Per esempio, uno spessore del substrato 302 è uno spessore ridotto all’aumentare dell’esposizione alla soluzione di incisione chimica. In alternativa, il substrato 302 può avere uno spessore maggiore al diminuire dell’esposizione alla soluzione di incisione chimica.
In un’operazione 220, il substrato 302 reso planare e sostanzialmente privo di difetti ha una o più caratteristiche, come via 303 e cavità 305, formate in esso (due cavità 305 e otto via 303 sono rappresentati nella sezione trasversale del substrato 302 nella Figura 3B). Le Figure da 4A a 4C, da 5A a 5C, da 6A a 6C e 7A e 7B illustrano viste in sezione trasversale del substrato 302 in stadi differenti del processo di formazione delle caratteristiche secondo forme di attuazione qui descritte. Così, l’operazione 220 sarà descritta ora in maggiore dettaglio con riferimento alle Figure da 4A a 4C, da 5A a 5C, da 6A a 6C e 7A e 7B.
In forme di attuazione nelle quali il substrato 302 ha uno spessore minore di circa 200 µm, come uno spessore di circa 50 µm, il substrato 302 può essere accoppiato dapprima a una lastra di supporto 406 opzionale, come rappresentato nelle Figure 4A e 5A. La lastra di supporto 406 fornisce un sostegno meccanico per il substrato 302 durante il processo di strutturazione del substrato 220 ed evita che il substrato 302 si rompa. La lastra di supporto 406 è formata da un qualsiasi materiale rigido stabile termicamente e chimicamente adatto comprendendo vetro, ceramica, metallo o simili, ma non essendo limitati a questi. La lastra di supporto 406 ha uno spessore tra circa 1 mm e circa 10 mm, come tra circa 2 mm e circa 5 mm. In una forma di attuazione, la lastra di supporto 406 ha una superficie strutturata/con rugosità. In altre forme di attuazione, la lastra di supporto 406 ha una superficie lucidata.
Il substrato 302 è accoppiato alla lastra di supporto 406 mediante uno strato adesivo 408. Lo strato adesivo 408 è formato da un qualsiasi materiale di unione temporanea adatto comprendendo cera, colla o un materiale di unione simile, ma non essendo limitati a questi. Lo strato adesivo 408 è applicato sulla lastra di supporto 406 mediante rotolamento meccanico, stampaggio a pressa, laminazione, rivestimento a rotazione (“spin coating”) o raschiamento a lama. In una forma di attuazione, lo strato adesivo 408 è uno strato adesivo solubile in un solvente o in acqua. In altre forme di attuazione, lo strato adesivo 408 è uno strato adesivo a rilascio di UV. In altre forme di attuazione ancora, lo strato adesivo 408 è uno strato adesivo a rilascio termico. In tali forme di attuazione, le proprietà di unione dello strato adesivo 408 si degradano con l’esposizione a un trattamento termico, per esempio, esponendo lo strato adesivo 408 a temperature superiori a 110 ºC, come superiori a 150 ºC. Lo strato adesivo 408 può comprendere inoltre uno o più strati di pellicole (non rappresentati), come un liner, una pellicola adesiva a rilascio termico, una pellicola di base, una pellicola sensibile alla pressione e altri strati adatti.
In alcune forme di attuazione, dopo l’unione del substrato 302 alla lastra di supporto 406, al substrato 302 è applicata una pellicola di resist per formare uno strato di resist 404, rappresentato nelle Figure 4A e 5A. In forme di attuazione in cui il substrato 302 ha uno spessore maggiore di circa 200 µm, come uno spessore di circa 250 µm, lo strato di resist 404 è formato sul substrato 302 senza accoppiare dapprima il substrato 302 alla lastra di supporto 406. Lo strato di resist 404 è usato per trasferire un disegno (“pattern”) desiderato al substrato 302 sul quale è formato lo strato di resist 404 durante operazioni di trattamento successive. Dopo che è stato disegnato, lo strato di resist 404 protegge regioni selezionate del substrato 302 sottostante durante processi di strutturazione successivi.
Il substrato 302 ha una superficie sostanzialmente planare sulla quale è formato lo strato di resist 404. In alcune forme di attuazione, come quelle illustrate nella Figura 5A, lo strato di resist 404 è unito al substrato 302 mediante uno strato adesivo di resist 409. Lo strato adesivo di resist 409 è formato da un qualsiasi materiale di unione temporanea adatto, comprendendo l’alcol di polivinile, triestere con 2- etil-2-(idrossimetil)-1,3- propanediolo e altri materiali solubili in un solvente o in acqua, ma non essendo limitati a questi. In una forma di attuazione, lo strato adesivo di resist 409 è formato da un materiale differente da quello dello strato adesivo 408. In una forma di attuazione, lo strato adesivo di resist 409 ha una composizione sostanzialmente simile a quella dello strato adesivo 408. Lo strato adesivo di resist 409 è applicato sul substrato 302 mediante rotolamento meccanico, stampaggio a pressa, laminazione, rivestimento a rotazione o raschiamento a lama. In altre forme di attuazione, lo strato di resist 404 è formato da un materiale di unione temporanea, come alcol di polivinile, permettendo così allo strato di resist 404 di essere applicato e unito direttamente alla superficie del substrato 302. Lo strato di resist 404 può comprendere uno o più strati per esempio, un primo strato di resist e un secondo strato di resist (non rappresentati).
In una forma di attuazione, come la forma di attuazione illustrata nella Figura 4A, lo strato di resist 404 è un fotoresist. Lo strato di resist 404 può comprendere un solvente, una resina di fotoresist e un generatore di fotoacido. La resina di fotoresist può essere una qualsiasi resina di fotoresist positivo o una qualsiasi resina di fotoresist negativo. Resine di fotoresist rappresentative comprendono gli acrilati, le resine novolak, i poli(metilmetacrilati) e i poli(olefin sulfoni). Possono anche essere usate altre resine di fotoresist. All’esposizione a una radiazione elettromagnetica, il generatore di fotoacido genera specie caricate, come anioni e cationi acidi. Il generatore di fotoacido può anche generare specie polarizzate. Il generatore di fotoacido rende sensibile la resina alla radiazione elettromagnetica. Generatori di fotoacido rappresentativi comprendono i composti solfonati, come, per esempio, i sali solfonati, gli esteri solfonati e i solfonilossi-chetoni. Altri generatori di fotoacido adatti comprendono i sali di onio, come i sali di aril-diazonio, i sali di alonio, i sali di solfonio aromatico e i sali di solfossonio o i sali di selenio. Altri generatori di fotoacido rappresentativi comprendono gli esteri di nitrobenzile, i derivati della s-triazina, i solfonati di iodio ionici, i perfluoroalcanisolfonati, gli aril triflati e derivati e loro analoghi, i derivati del pirogallolo e gli alchil disolfoni. Possono anche essere usati altri generatori di fotoacido.
In una forma di attuazione, come la forma di attuazione illustrata nella Figura 5A, lo strato di resist 404 è un resist sensibile al laser. Lo strato di resist 404 può essere formato da un qualsiasi materiale che ha una durezza adatta. In una forma di attuazione, lo strato di resist 404 è formato da un materiale che ha un valore di durezza nella Scala di Shore A tra 40 e 90, come tra 60 e 70. Per esempio, lo strato di resist 404 è formato da un materiale che ha un valore di durezza nella Scala di Shore A di circa 65. In una forma di attuazione, lo strato di resist 404 è formato da un materiale che ha un carico di rottura tra circa 0,5 MPa e circa 10 MPa, come tra circa 1 MPa e circa 8 MPa. Per esempio, lo strato di resist 404 sensibile al laser può essere formato da un materiale che ha un carico di rottura di circa 7 MPa. In una forma di attuazione, lo strato di resist 404 è formato da un materiale di polidimetilsilossano. In altre forme di attuazione, lo strato di resist 404 sensibile al laser è formato da alcol di polivinile, da triestere con 2- etil-2-(idrossimetil)-1,3- propanediolo o simili.
Dopo la formazione dello strato di resist 404, il substrato 302 avente lo strato di resist 404 formato su di esso è esposto a una radiazione elettromagnetica per disegnare lo strato di resist 404, rappresentato nelle Figure 4B e 5B. Nella forma di attuazione illustrata dalla Figura 4B, il substrato 302 avente lo strato di resist 404 formato su di esso è esposto a una radiazione elettromagnetica nella gamma ultravioletta (UV). Porzioni dello strato di resist 404 sono esposte selettivamente e porzioni dello strato di resist 404 non sono esposte selettivamente alla radiazione UV. All’esposizione alla radiazione UV, le porzioni esposte selettivamente dello strato di resist 404 sono indebolite strutturalmente, mentre le porzioni non esposte selettivamente mantengono la loro integrità strutturale. In una forma di attuazione, una maschera 412 avente un disegno desiderato è formata sullo strato di resist 404 di fotoresist prima dell’esposizione alla radiazione UV. In altre forme di attuazione, la maschera 412 è un reticolo posizionato tra lo strato di resist 404 e la sorgente di radiazione UV. La maschera 412 è configurata per trasferire un disegno desiderato della radiazione UV allo strato di resist 404. La maschera 412 è formata da un qualsiasi materiale polimerico adatto, comprendendo PTFE, PVDF, FEP, poliimmide o simili, ma non essendo limitati a questi.
Nella forma di attuazione illustrata dalla Figura 5B, il substrato 302 avente lo strato di resist 404 formato su di esso è esposto a una radiazione elettromagnetica generata da una sorgente laser (non rappresentata) invece che da una sorgente di radiazione UV. Come tale, la realizzazione del disegno è compiuta mediante ablazione laser mirata, senza l’uso di una maschera. La sorgente laser può essere un qualsiasi tipo di laser adatto per realizzare un disegno del resist sensibile al laser. In alcuni esempi, la sorgente laser è un laser verde a femtosecondi. In altri esempi, la sorgente laser è un laser UV a femtosecondi. La sorgente laser genera un fascio laser continuo o a impulsi per realizzare il disegno dello strato di resist 404. Per esempio, la sorgente laser può generare un fascio laser a impulsi avente una frequenza tra 100 kHz e 1200 kHz, come tra circa 200 kHz e circa 1000 kHz. La sorgente laser è configurata per formare un qualsiasi disegno desiderato nello strato di resist 404. È contemplato inoltre che la radiazione elettromagnetica nell’operazione possa comprendere in alternativa un fascio di elettroni o un fascio di ioni invece di un fascio laser.
In seguito alla realizzazione del disegno dello strato di resist 404, il substrato 302 avente lo strato di resist 404 formato su di esso è sottoposto a micro-sabbiatura per formare un disegno desiderato nel substrato 302 come rappresentato nelle Figure 4C e 5C. Durante il processo di micro-sabbiatura, un flusso continuo (“stream”) di particelle di polvere è spinto verso il substrato 302 sotto una pressione elevata per asportare porzioni esposte del substrato 302 e/o strati formati su di esso. Il processo di micro-sabbiatura è effettuato usando un qualsiasi sistema di abrasione del substrato adatto.
Il processo di micro-sabbiatura è determinato dalle proprietà del materiale delle particelle di polvere e del substrato 302. Per ottenere le caratteristiche desiderate di realizzazione del disegno del substrato, sono fatte regolazioni del tipo e della dimensione delle particelle di polvere, della dimensione e della distanza dal substrato 302 dell’ugello dell’applicatore del sistema di abrasione, della pressione utilizzata per spingere le particelle di polvere e della densità delle particelle di polvere nel flusso continuo di fluido. Per esempio, una pressione del fluido desiderata per spingere le particelle di polvere verso il substrato 302 è determinata in base ai materiali del substrato 302 e delle particelle di polvere. In una forma di attuazione, la pressione del fluido utilizzata per microsabbiare il substrato 302 va da circa 50 psi a circa 150 psi, come tra circa 75 psi e circa 125 psi. Per esempio, la pressione del fluido utilizzata per spingere le particelle di polvere durante la micro-sabbiatura è circa 95 psi. In una forma di attuazione, l’ugello dell’applicatore utilizzato per micro-sabbiare il substrato 302 è disposto a una distanza tra circa 1 mm e circa 5 mm dal substrato 302, come tra circa 2 mm e circa 4 mm. Per esempio, l’ugello dell’applicatore è disposto a una distanza di circa 3 mm dal substrato 302 durante la micro-sabbiatura.
Generalmente, il processo di micro-sabbiatura è effettuato con particelle di polvere che hanno una durezza sufficiente e un punto di fusione sufficientemente alto da impedire un’adesione delle particelle a un contatto con il substrato 302 e/o con qualsiasi strato formato su di esso. Per esempio, il processo di micro-sabbiatura è effettuato utilizzando particelle di polvere formate da un materiale ceramico. In una forma di attuazione, le particelle utilizzate nel processo di micro-sabbiatura sono formate da ossido di alluminio. In un’altra forma di attuazione, le particelle di polvere sono formate da carburo di silicio. Sono contemplati anche altri materiali adatti per le particelle di polvere. Le particelle di polvere hanno generalmente una dimensione che va tra circa 15 µm e circa 40 µm di diametro, come tra circa 20 µm e circa 35 µm di diametro. Per esempio, le particelle di polvere hanno una dimensione media delle particelle di circa 27,5 µm di diametro. In un altro esempio, le particelle di polvere hanno una dimensione media delle particelle di circa 23 µm di diametro.
L’efficacia del processo di micro-sabbiatura nell’operazione 220 e come rappresentato nelle Figure 4C e 5C dipende inoltre dalle caratteristiche del materiale dello strato di resist 404. Il fatto di utilizzare un materiale che ha una durezza troppo alta nella Scala di Shore A può causare un rimbalzo indesiderato delle particelle di polvere tra pareti laterali dello strato di resist 404, riducendo così la velocità alla quale le particelle di polvere bombardano il substrato 302, e riducendo in definitiva l’efficacia della rimozione da parte delle particelle di polvere di regioni esposte del substrato 302. Per contro, il fatto di utilizzare un materiale che ha una durezza troppo bassa nella Scala di Shore A può causare un’adesione indesiderata delle particelle di polvere allo strato di resist 404. È contemplato di utilizzare un valore di durezza nella Scala di Shore A tra circa 40 e circa 90 per il materiale dello strato di resist 404, come descritto in precedenza.
In forme di attuazione in cui lo strato di resist 404 è un fotoresist, come la forma di attuazione rappresentata nella Figura 4C, il substrato 302 rimane non esposto all’inizio del processo di micro-sabbiatura. Così, le particelle di polvere bombardano dapprima una superficie del fotoresist, facendo sì che il materiale sia asportato e rimosso dalle porzioni indebolite strutturalmente ed esposte a UV. Le particelle di polvere penetrano infine attraverso le porzioni fragili esposte a UV e le rimuovono per formare dei vuoti nello strato di resist 404, esponendo così regioni desiderate del substrato 302 mentre altre regioni rimangono schermate dalle porzioni non esposte a UV del fotoresist. La micro-sabbiatura è quindi continuata finché le particelle di polvere tolgono o rimuovono una quantità o una profondità desiderata di materiale dalle regioni esposte del substrato 302, formando così un disegno desiderato nel substrato 302. In forme di attuazione in cui lo strato di resist 404 è disegnato mediante ablazione laser, come la forma di attuazione rappresentata nella Figura 5C, regioni desiderate del substrato 302 sono già esposte attraverso vuoti nello strato di resist 404 prima del processo di micro-sabbiatura. Così, durante la micro-sabbiatura è contemplata una riduzione da minima a nulla dello strato di resist 404.
I processi descritti in precedenza per formare caratteristiche nel substrato 302 nell’operazione 220 possono causare difetti meccanici indesiderati sulle superfici del substrato 302, come la scheggiatura e l’incrinatura. Perciò, dopo l’effettuazione dell’operazione 220 per formare caratteristiche desiderate nel substrato 302, il substrato 302 è esposto a un secondo processo di rimozione dei danni e di pulitura in un’operazione 230 per rendere regolari le superfici del substrato 302 e rimuovere le scorie indesiderate. Le Figure da 4D a 4F e da 5D a 5F illustrano viste in sezione trasversale del substrato 302 in stadi differenti del secondo processo di rimozione dei danni e di pulitura secondo forme di attuazione qui descritte. Così, l’operazione 230 sarà descritta ora in maggiore dettaglio con riferimento alle Figure da 4D a 4F e da 5D a 5F.
Il secondo processo di rimozione dei danni nell’operazione 230 è sostanzialmente simile al primo processo di rimozione dei danni nell’operazione 210 e comprende di esporre il substrato 302 a un processo di incisione chimica, seguito dall’asciugatura e dall’essiccamento. Il processo di incisione chimica procede per una durata predeterminata per rendere regolari le superfici del substrato 302, e in particolare le superfici esposte al processo di micro-sabbiatura. Secondo un altro aspetto, il processo di incisione chimica è utilizzato per rimuovere scorie indesiderate rimanenti dal processo di micro-sabbiatura. Le particelle di polvere rimaste in aderenza al substrato 302 possono essere rimosse durante il processo di incisione chimica.
In una forma di attuazione, il processo di incisione chimica è un processo di incisione chimica a umido che utilizza un processo di incisione chimica tamponata, preferibilmente incidendo chimicamente la superficie del substrato sopra il materiale dello strato di resist 404. Per esempio, il processo di incisione chimica tamponata è selettivo per l’alcol di polivinile. In altre forme di attuazione, il processo di incisione chimica è un processo di incisione chimica a umido che utilizza processo di incisione chimica acquosa diluita. Per il processo di incisione chimica a umido può essere usato un qualsiasi agente di attacco a umido o una qualsiasi combinazione di agenti di attacco a umido. In una forma di attuazione, il substrato 302 è immerso in una soluzione di incisione chimica di HF acquosa per l’incisione chimica. In altre forme di attuazione, il substrato 302 è immerso in una soluzione di incisione chimica di KOH acquosa per l’incisione chimica. In una forma di attuazione, la soluzione di incisione chimica è scaldata a una temperatura tra circa 40 ºC e circa 80 ºC durante il processo di incisione chimica, come tra circa 50 ºC e circa 70 ºC. Per esempio, la soluzione di incisione chimica è scaldata a una temperatura di circa 60 ºC. Il processo di incisione chimica può inoltre essere isotropo o anisotropo. In altre forme di attuazione, il processo di incisione chimica è un processo di incisione chimica a secco. Un esempio di un processo di incisione chimica a secco comprende un processo di incisione chimica a secco basato su plasma.
Dopo che le scorie sono state rimosse e che le superfici sono state rese regolari sul substrato 302, il substrato 302 è esposto a un processo di strappamento del resist (“resist stripping”) come rappresentato nelle Figure 4E e 5E. il processo di strappamento è utilizzato per separare (“debond”) lo strato di resist 404 dal substrato 302. In una forma di attuazione, per separare lo strato di resist 404 dal substrato 302 è usato un processo a umido dissolvendo/rendendo solubile lo strato adesivo di resist 409. Sono contemplati inoltre altri tipi di processo di incisione chimica per rilasciare lo strato adesivo di resist 409. In un’altra forma di attuazione, è usato un processo di rotolamento meccanico per staccare fisicamente lo strato di resist 404 o lo strato adesivo di resist 409 dal substrato 302.
Dopo il processo di strappamento del resist, il substrato 302 è esposto a un processo opzionale di separazione (“de-bonding”) del supporto. L’utilizzo del processo di separazione del supporto dipende dal fatto che il substrato 302 sia accoppiato oppure no alla lastra di supporto 406 e dal tipo di materiale di unione utilizzato per accoppiare il substrato 302 e la lastra di supporto 406. Come descritto in precedenza e rappresentato nelle Figure da 4A a 4F e da 5A a 5F, in forme di attuazione in cui il substrato 302 ha uno spessore minore di circa 200 µm, il substrato 302 è accoppiato alla lastra di supporto 406 per il sostegno meccanico durante la formazione delle caratteristiche nell’operazione 220. Il substrato 302 è accoppiato alla lastra di supporto 406 mediante lo strato adesivo 408. Così, dopo la micro-sabbiatura e l’incisione chimica del substrato e lo strappamento del resist successivi, il substrato 302 accoppiato alla lastra di supporto 406 è esposto al processo di separazione del supporto per separare il substrato 302 dalla lastra di supporto 406 rilasciando lo strato adesivo 408.
In una forma di attuazione, lo strato adesivo 408 è rilasciato esponendo il substrato 302 a un processo di cottura. Il substrato 302 è esposto a temperature tra circa 50 ºC e circa 500 ºC, come temperature tra circa 100 ºC e circa 300 ºC. Per esempio, il substrato 302 è esposto a una temperatura tra circa 150 ºC e circa 200 ºC, come circa 160 ºC per un periodo di tempo desiderato al fine di rilasciare lo strato adesivo 408. In altre forme di attuazione, lo strato adesivo 408 è rilasciato esponendo il substrato 302 a una radiazione UV.
Le Figure 4F e 5F illustrano il substrato 302 dopo il completamento delle operazioni da 210 a 230. La sezione trasversale del substrato 302 nelle Figure 4F e 5F rappresenta due cavità 305 formate attraverso di esso, ciascuna cavità 305 circondata sull’uno e sull’altro lato da due righe di via 303.
Le Figure da 6A a 6E illustrano viste schematiche, in sezione trasversale, di un substrato 302 durante una sequenza alternativa per le operazioni 220 e 230 simile a quelle descritte in precedenza. La forma di attuazione rappresentata nelle Figure da 6A a 6E comprende sostanzialmente tutti i processi descritti con riferimento alle Figure da 4A a 4F e da 5A a 5F. Per esempio, la Figura 6A corrisponde alle Figure 4A e 5A, la Figura 6B corrisponde alle Figure 4B e 5B, la Figura 6C corrisponde alle Figure 4C e 5C, la Figura 6D corrisponde alle Figure 4D e 5D, e la Figura 6E corrisponde alle Figure 4F e 5F. Tuttavia, diversamente dalle forme di attuazione precedenti, la forma di attuazione dell’operazione 220 rappresentata nelle Figure da 6A a 6E comprende un substrato 302 avente due strati di resist 404 formati su superfici opposte principali 605, 607 su di esso, al contrario di uno strato di resist 404 formato su una superficie su di esso.
Così, dopo l’esposizione dello strato di resist 404 su un lato del substrato 302 a una radiazione elettromagnetica per realizzare il disegno, come la superficie 605, il substrato 302 può essere capovolto in modo tale che anche lo strato di resist 404 sulla superficie opposta 607 sia esposto alla radiazione elettromagnetica per la formazione del disegno, come rappresentato nella Figura 6B. Similmente, dopo l’effettuazione del processo di micro-sabbiatura sulla superficie 605 del substrato 302, il substrato 302 può essere opzionalmente capovolto in modo tale che la micro-sabbiatura possa essere effettuata rispetto alla superficie opposta 607, come rappresentato nella Figura 6C. In seguito, il substrato 302 è esposto a un secondo processo di rimozione dei danni e di pulitura e a un processo di strappamento del resist, come rappresentato nelle Figure 6D e 6E. Utilizzando due strati di resist 404 sulle superfici opposte principali 605, 607 del substrato 302 ed effettuando il processo di micro-sabbiatura rispetto a entrambe le superfici, un’alterazione (“tapering”) potenziale delle caratteristiche formate in esse mediante il processo di micro-sabbiatura può essere ridotta o eliminata.
Le Figure da 7A a 7D illustrano viste schematiche, in sezione trasversale, di un substrato 302 durante un’altra sequenza alternativa per le operazioni 220 e 230, in cui un disegno desiderato è formato nel substrato 302 mediante ablazione laser diretta. Come rappresentato nella Figura 7A, il substrato 302, come un substrato solare, è posto su un sostegno 706 di un sistema per ablazione laser. Il sostegno 706 può essere una qualsiasi superficie planare e rigida adatta per fornire un sostegno meccanico al substrato 302 durante l’ablazione laser. In alcune forme di attuazione, il sostegno 706 comprende una morsa elettrostatica per bloccare con una morsa elettrostatica il substrato 302 al sostegno 706. In alcune forme di attuazione, il sostegno 706 comprende una morsa sottovuoto per bloccare con una morsa sottovuoto il substrato 302 al sostegno 706. Dopo avere posto il substrato 302 sul sostegno 706, un disegno desiderato è formato nel substrato 302 mediante ablazione laser, come rappresentato nella Figura 7B. Il sistema per ablazione laser può comprendere un qualsiasi tipo adatto di sorgente laser per realizzare il disegno del substrato 302. In alcuni esempi, la sorgente laser è un laser a infrarosso (IR). In alcuni esempi, la sorgente laser è un laser a UV a picosecondi. In altri esempi, il laser è un laser a UV a femtosecondi. In altri esempi ancora, la sorgente laser è un laser verde a femtosecondi. La sorgente laser genera un fascio laser continuo o a impulsi per realizzare il disegno del substrato 302. Per esempio, la sorgente laser può generare un fascio laser a impulsi avente una frequenza tra 100 kHz e 1200 kHz, come tra 200 kHz e circa 1000 kHz. La sorgente laser è configurata per formare qualsiasi disegno e caratteristica desiderati nel substrato 302, includendo le cavità e i via.
In modo simile alla micro-sabbiatura, il processo di realizzazione del disegno laser diretto del substrato 302 può causare difetti meccanici indesiderati sulle superfici del substrato 302, comprendendo una scheggiatura e un’incrinatura. Così, dopo avere effettuato l’operazione 220 per formare le caratteristiche desiderate nel substrato 302 mediante realizzazione del disegno laser diretto, il substrato 302 è esposto a un secondo processo di rimozione dei danni e di pulitura in un’operazione 230 sostanzialmente simile alle forme di attuazione descritte in precedenza. Le Figure 7C e 7D illustrano il substrato 302 strutturato prima e dopo l’effettuazione del secondo processo di rimozione dei danni e di pulitura.
Dopo la rimozione dei difetti meccanici nel substrato 302 nell’operazione 230, il substrato 302 è esposto a un processo di ossidazione in un’operazione 240 e nella Figura 3D per depositare una pellicola di ossido 314 isolante sulle sue superfici desiderate. In una forma di attuazione, il processo di ossidazione è un processo di ossidazione termica. Il processo di ossidazione termica è effettuato a una temperatura tra circa 800 ºC e circa 1200 ºC, come tra circa 850 ºC e circa 1150 ºC. Per esempio, il processo di ossidazione termica è effettuato a una temperatura tra circa 900 ºC e circa 1100 ºC, come una temperatura tra circa 950 ºC e circa 1050 ºC. In una forma di attuazione, il processo di ossidazione termica è un processo di ossidazione a umido che utilizza vapore acqueo come ossidante. In una forma di attuazione, il processo di ossidazione termica è un processo a secco che utilizza ossigeno molecolare come ossidante. È contemplato che il substrato 302 possa essere esposto a un qualsiasi processo di ossidazione adatto nell’operazione 240 per formare su di esso la pellicola di ossido 314.
La Figura 8 illustra una vista schematica dall’alto di un substrato 302 strutturato secondo una forma di attuazione. Il substrato 302 è strutturato durante l’operazione 220 come descritto in precedenza con riferimento alle Figure 2, da 3A a 3D, da 4A a 4F, da 5A a 5F, da 6A a 6E e da 7A a 7D. È illustrato che il substrato 302 ha due cavità 305 a quadrilatero, ciascuna cavità 305 circondata da una pluralità di via 303. In una forma di attuazione, ciascuna cavità 305 è circondata da due righe di via 801, 802 disposte lungo ciascun bordo da 306a a 306d della cavità 305 a quadrilatero. Anche se sono rappresentati dieci via 303 in ciascuna riga 801, 802 lungo ciascun bordo da 306a a 306d delle cavità 305, è contemplato che in una riga possa essere formato un qualsiasi numero desiderato di via 303. Inoltre, un numero desiderato qualsiasi e una configurazione desiderata qualsiasi delle cavità 305 e dei via 303 possono essere formati nel substrato 302 durante l’operazione 220. Per esempio, il substrato 302 può avere formate in esso più o meno di due cavità 305. In un altro esempio, il substrato 302 può avere più o meno di due righe di via 303 formate lungo ciascun bordo da 306a a 306d delle cavità 305. In un altro esempio, il substrato 302 può avere due o più righe di via 303, in cui i via 303 in ciascuna riga sono sfalsati e disallineati rispetto ai via 303 di un’altra riga.
In una forma di attuazione, le cavità 305 e i via 303 hanno una profondità uguale allo spessore del substrato 302, formando così dei fori in superfici opposte del substrato 302. Per esempio, le cavità 305 e i via 303 formati nel substrato 302 possono avere una profondità tra circa 100 µm e circa 600 µm, come tra circa 140 µm e circa 200 µm, come tra circa 150 µm e circa 180 µm, a seconda dello spessore del substrato 302. In altre forme di attuazione, le cavità 305 e/o i via 303 possono avere una profondità uguale a meno dello spessore del substrato 302, formando così un foro soltanto in una superficie del substrato 302. Per esempio, le cavità 305 e/o i via 303 nel substrato 302 possono avere una profondità tra circa 10 µm e circa 600 µm, come una profondità tra circa 25 µm e circa 200 µm, come una profondità tra circa 40 µm e circa 100 µm, come una profondità tra circa 50 µm e circa 80 µm, a seconda dello spessore del substrato 302.
In una forma di attuazione, ciascuna cavità 305 ha dimensioni laterali che vanno tra circa 5 mm e circa 15 mm, come tra circa 8 mm e circa 12 mm, come tra circa 9 mm e circa 11 mm, a seconda della dimensione di uno o più die 1026 (come rappresentato nella Figura 10) da integrare al suo interno durante la fabbricazione del package come descritto in maggiore dettaglio qui di seguito. In una forma di attuazione, le cavità 305 sono dimensionate in modo da avere dimensioni laterali sostanzialmente simili a quelle dei die 1026 da integrare al loro interno. Per esempio, ciascuna cavità 305 è formata in modo da avere dimensioni laterali che superano quelle dei die 1026 per meno di circa 150 µm, come meno di circa 120 µm, come meno di 100 µm. Il fatto di avere una varianza ridotta nella dimensione delle cavità 305 e dei die 1026 da integrare al loro interno riduce la quantità di materiale di riempimento dei divari (“gapfill”) utilizzato in seguito.
In una forma di attuazione, ciascun via 303 ha un diametro che va tra circa 50 µm e circa 200 µm, come tra circa 60 µm e circa 130 µm, come tra circa 80 µm e 110 µm. Un passo (“pitch”) 807 tra il centro di un via 303 nella riga 801 e un centro di un via 303 adiacente nella riga 802 è tra circa 80 µm e circa 200 µm, come tra circa 100 µm e circa 160 µm, come tra circa 120 µm e 140 µm. Anche se le forme di attuazione sono descritte con riferimento alla Figura 8, i processi di strutturazione del substrato descritti in precedenza con riferimento all’operazione 220 e alle Figure 2, da 4A a 4C, da 5A a 5C, da 6A a 6C e 7A e 7B possono essere utilizzati per formare caratteristiche disegnate nel substrato 302 che hanno qualsiasi profondità, dimensione laterale e sagoma desiderate.
Dopo la strutturazione del substrato 302, sono formati uno o più package intorno al substrato 302 utilizzando il substrato 302 come un frame. La Figura 9 illustra un diagramma di flusso di un procedimento 900 rappresentativo di fabbricazione di un assieme di die embedded intermedio intorno al substrato 302 prima della formazione del package finale. Le Figure da 10A a 10H illustrano schematicamente viste in sezione trasversale del substrato 302 in stadi differenti del procedimento 900 rappresentato nella Figura 9. Così, la Figura 9 e le Figure da 10A a 10H sono descritte qui insieme per chiarezza.
In generale, il procedimento 900 comincia in un’operazione 910 e nella Figura 10A in cui il substrato 302, avente caratteristiche desiderate formate in esso, è posto su una pellicola isolante 1016. In una forma di attuazione, la pellicola isolante 1016 è formata da un materiale di accumulo flussabile (“flowable”). In una forma di attuazione, la pellicola isolante 1016 è formata da uno o più strati di materiali basati su polimeri. Per esempio, la pellicola isolante 1016 è formata da uno strato di resina epossidica 1018. In altri esempi, la pellicola isolante 1016 è formata da uno strato di resina epossidica 1018 riempito con particelle di silice o riempito con fibre di silice. In una forma di attuazione, la pellicola isolante 1016 comprende inoltre uno o più strati protettivi. Per esempio, la pellicola isolante 1016 comprende uno strato protettivo 1022 di polietilene tereftalato (PET). Per la pellicola isolante 1016 è contemplata una qualsiasi combinazione adatta di strati e di materiali isolanti. La pellicola isolante 1016 ha uno spessore minore di circa 80 µm, come tra circa 5 µm e circa 60 µm. Per esempio, la pellicola isolante 1016 ha uno spessore tra circa 20 µm e circa 50 µm. Il substrato 302 accoppiato alla pellicola isolante 1016 è quindi posto su un supporto 1024 per un sostegno meccanico durante un processo di laminazione in un’operazione 940. Il supporto è formato da un qualsiasi materiale rigido adatto, stabile meccanicamente e termicamente. Per esempio, il supporto 1024 è formato da politetrafluoroetilene (PTFE).
Nell’operazione 920, uno o più die a semiconduttore 1026 sono posti all’interno delle cavità 305 formate nel substrato 302 (nella Figura 10B sono rappresentati due die 1026). In una forma di attuazione, i die 1026 sono die multipurpose aventi i circuiti integrati formati su una loro superficie attiva 1028. I die 1026 sono posti all’interno delle cavità 305 e sono fissati su una superficie della pellicola isolante 1016. In una forma di attuazione, i die 1026 sono posti su uno strato adesivo (non rappresentato) disposto sulla pellicola isolante 1016.
Dopo il posizionamento dei die 1026 all’interno delle cavità 305, una seconda pellicola isolante 1017 è applicata al substrato 302 in un’operazione 930 e nella Figura 10C. Lo strato di resina epossidica 1018 della seconda pellicola isolante 1017 fa contatto con, e copre, la superficie attiva 1028 dei die 1026. Nell’operazione 940 e nella Figura 10D, il substrato 302, ora applicato alle pellicole isolanti 1016, 1017 e avente i die 1026 in esso, è esposto a un processo di laminazione. Durante il processo di laminazione, il substrato 302 è esposto a temperature elevate, facendo sì che lo strato di resina epossidica 1018 di ciascuna pellicola isolante 1016, 1017 si ammorbidisca e scorra nei divari tra le due pellicole 1016, 1017, come nei via 303 e negli spazi tra le pareti delle cavità 305 e i die 1026. In una forma di attuazione, il processo di laminazione è un processo di laminazione sottovuoto. In una forma di attuazione, il processo di laminazione è un processo di pressatura a caldo. In una forma di attuazione, il processo di laminazione è effettuato a una temperatura tra circa 80 ºC e circa 140 ºC e per un periodo tra circa 1 minuto e circa 30 minuti. Per esempio, il processo di laminazione è effettuato a una temperatura tra circa 100 ºC e circa 120 ºC per un periodo tra circa 2 minuti e 10 minuti. Per esempio, il processo di laminazione è effettuato a una temperatura tra circa 110 ºC per un periodo di circa 5 minuti.
In un’operazione 950 e nella Figura 10E, l’uno o più strati protettivi delle pellicole isolanti 1016, 1017 sono rimossi dal substrato 302, avendo come risultato un assieme di die embedded 1002 laminato. Per esempio, come rappresentato nella Figura 10E, gli strati protettivi 1022 sono rimossi dall’assieme di die embedded 1002, e così l’assieme di die embedded 1002 è sganciato dai supporti 1024. Gli strati protettivi 1022 e i supporti 1024 possono essere rimossi con qualsiasi processo meccanico adatto. Dopo la rimozione, l’assieme di die embedded 1002 è esposto a un processo di polimerizzazione effettuato ad alte temperature per polimerizzare completamente l’assieme di die embedded 1002. Per esempio, il processo di polimerizzazione è effettuato a una temperatura tra circa 140 ºC e circa 220 ºC e per un periodo tra circa 15 minuti e circa 45 minuti, come una temperatura tra circa 160 ºC e circa 200 ºC e per un periodo tra circa 25 minuti e circa 35 minuti. Per esempio, il processo di polimerizzazione è effettuato a una temperatura di circa 180 ºC per un periodo di circa 30 minuti.
Dopo la polimerizzazione, uno o più via passanti attraverso l’assieme 1003 sono perforati attraverso l’assieme di die embedded 1002 in un’operazione 960 e nella Figura 10F, formando canali attraverso l’intero spessore dell’assieme di die embedded 1002 per la successiva formazione di interconnessioni. Come rappresentato nella Figura 10F, i via passanti attraverso l’assieme 1003 sono perforati attraverso i via 303 che erano stati formati nel substrato 302 e riempiti successivamente con la resina epossidica durante il processo di laminazione nell’operazione 940. In una forma di attuazione, i via passanti attraverso l’assieme 1003 hanno un diametro tra circa 25 µm e circa 50 µm, come un diametro tra circa 35 µm e circa 40 µm. In una forma di attuazione, i via passanti attraverso l’assieme 1003 sono perforati attraverso l’assieme di die embedded 1002 mediante ablazione laser. Per esempio, i via passanti attraverso l’assieme 1003 sono formati usando un laser a ultravioletto.
In un’operazione 970 e nella Figura 10G, uno o più fori di contatto 1032 sono perforati attraverso lo strato di resina epossidica 1018 per esporre uno o più contatti 1030 formati sulla superficie attiva 1028 di ciascun die embedded 1026. I fori di contatto 1032 sono perforati attraverso lo strato di resina epossidica 1018 mediante ablazione laser. Per esempio, i fori di contatto 1032 sono formati usando un laser a UV o a CO2. In una forma di attuazione, i fori di contatto 1032 hanno un diametro tra circa 25 µm e circa 50 µm, come un diametro tra circa 35 µm e circa 40 µm.
In un’operazione 980 e nella Figura 10H, è effettuato un processo di de-smear. Durante il processo di de-smear, le superfici dello strato di resina epossidica 1018 sono rese regolari ed è rimosso il danno alla superficie causato dall’ablazione laser durante la formazione dei via passanti attraverso l’assieme 1003 e dei fori di contatto 1032. In una forma di attuazione, il processo di de-smear è un processo di de-smear a umido. In una forma di attuazione, il processo di de-smear è un processo di de-smear al plasma.
Successivamente al processo di de-smear nell’operazione 980, sono formate interconnessioni attraverso l’assieme di die embedded 1002. La Figura 11 illustra un diagramma di flusso di un procedimento 1100 rappresentativo di formazione delle interconnessioni e di un primo strato di ridistribuzione attraverso l’assieme di die embedded 1002. Le Figure da 12A a 12G illustrano schematicamente viste in sezione trasversale dell’assieme di die embedded 1002 in stadi differenti del processo del procedimento 1100 rappresentato nella Figura 11. Così, la Figura 11 e le Figure da 12A a 12G sono descritte qui insieme per chiarezza.
In una forma di attuazione, le interconnessioni formate attraverso l’assieme di die embedded 1002 sono formate da rame. Così, il procedimento 1100 comincia generalmente in un’operazione 1110 e nella Figura 12A in cui l’assieme di die embedded 1002, avente via passanti attraverso l’assieme 1003 e fori di contatto 1032 formati in esso, ha uno strato di barriera 1240 e uno strato di seme 1242 formati su di esso. Lo strato di barriera 1240 è formato su superfici desiderate dello strato di resina epossidica 1018, come le superfici principali 1005, 1007 dell’assieme di die embedded 1002, così come sulle superfici attive 1028 dei fori di contatto 1032 su ciascun die 1026, per assistere nel favorire l’adesione e nel bloccare la diffusione dello strato di seme 1242 e delle interconnessioni in rame 1244 formati successivamente. In una forma di attuazione, lo strato di barriera 1240 è formato da titanio, nitruro di titanio, tantalio, nitruro di tantalio, manganese, ossido di manganese, molibdeno, ossido di cobalto, nitruro di cobalto, o qualsiasi altro materiale adatto o loro combinazioni adatte. In una forma di attuazione, lo strato di barriera 1240 ha uno spessore tra circa 20nm e circa 200nm. Lo strato di barriera 1240 è formato mediante un qualsiasi processo di deposizione adatto, comprendendo la deposizione chimica a vapore (CVD, “Chemical Vapor Deposition”), la deposizione fisica a vapore (PVD, “Physical Vapor Deposition”), la CVD migliorata al plasma (PECVD, “Plasma Enhanced CVD”), la deposizione di strati atomici (ALD, “Atomic Layer Deposition”) o simili, ma non essendo limitati a queste.
Lo strato di seme 1242 è formato da un materiale conduttivo come rame, tungsteno, alluminio, argento, oro, o qualsiasi altro materiale adatto o loro combinazioni adatte. In una forma di attuazione, lo strato di seme 1242 ha uno spessore tra circa 0.1μm e circa 1μm. Similmente allo strato di barriera 1240, lo strato di seme 1242 è formato mediante un qualsiasi processo di deposizione adatto, come processi a secco di ALD, PECVD, PVD, CVD, processi di placcatura senza procedimento elettrolitico (“electroless”) a umido, o simili. In una forma di attuazione, uno strato di barriera 1240 di molibdeno e uno strato di seme 1242 di rame sono formati sull’assieme di die embedded 1002. La combinazione dello strato di barriera e di seme di Mo-Cu permette una migliore adesione con le superfici dello strato di resina epossidica 1018 e riduce la formazione di undercut durante il processo di incisione chimica dello strato di seme successivo in un’operazione 1170.
In operazioni 1120 e 1130, corrispondenti rispettivamente alle Figure 12B e 12C, una pellicola di resist 1250 a secco o spin-on/spray-on, come un fotoresist, è applicata su entrambe le superfici principali 1005, 1007 dell’assieme di die embedded 1002 e successivamente è disegnata. In una forma di attuazione, la pellicola di resist 1250 è disegnata mediante un’esposizione selettiva a una radiazione UV. In una forma di attuazione, una sostanza acceleratrice (“promoter”) dell’adesione (non rappresentata) è applicata all’assieme di die embedded 1002 prima della formazione della pellicola di resist 1250. La sostanza acceleratrice dell’adesione migliora l’adesione della pellicola di resist 1250 all’assieme di die embedded 1002 producendo uno strato di unione interfacciale per la pellicola di resist 1250 e rimuovendo qualsiasi umidità dalla superficie dell’assieme di die embedded 1002. In alcune forme di attuazione, la sostanza acceleratrice dell’adesione è formata da bis(trimetilsilil)ammine (HMDS) e da acetato di propilene glicol monometil etere.
In un’operazione 1140 e nella Figura 12D, l’assieme di die embedded 1002 è esposto a un processo di sviluppo della pellicola di resist. Come rappresentato nella Figura 12D, lo sviluppo della pellicola di resist 1250 ha come risultato un’esposizione dei via passanti attraverso l’assieme 1003 e dei fori di contatto 1032, che hanno ora uno strato di barriera 1240 e uno strato di seme 1242 formati su di essi. In una forma di attuazione, il processo di sviluppo della pellicola è un processo a umido, come un processo di incisione chimica a umido. In una forma di attuazione, il processo di sviluppo della pellicola è un processo di incisione chimica a umido che utilizza un processo di incisione chimica tamponata selettivo per un materiale desiderato. In altre forme di attuazione, il processo di sviluppo della pellicola è un processo di incisione chimica a umido che utilizza un processo di incisione chimica acquosa. Per il processo di sviluppo della pellicola di resist può essere usato un qualsiasi agente di attacco adatto o una qualsiasi combinazione adatta di agenti di attacco.
In operazioni 1150 e 1160, corrispondenti rispettivamente alle Figure 12E e 12F, interconnessioni 1244 sono elettroplaccate attraverso i via passanti attraverso l’assieme 1003 e i fori di contatto 1032 esposti e in seguito la pellicola di resist 1250 è rimossa. In una forma di attuazione, la pellicola di resist 1250 è rimossa mediante un processo a umido. Come rappresentato nelle Figure 12E e 12F, le interconnessioni 1244 elettroplaccate riempiono i via passanti attraverso l’assieme 1003 e i fori di contatto 1032 e sporgono dalle superfici 1005, 1007 e 1028 dell’assieme di die embedded 1002 alla rimozione della pellicola di resist 1250. In una forma di attuazione, le interconnessioni 1244 sono formate da rame. In altre forme di attuazione, le interconnessioni 1244 possono essere formate da un qualsiasi materiale conduttore adatto, comprendendo alluminio, oro, nichel, argento, palladio, stagno o simili, ma non essendo limitati a questi.
Nell’operazione 1170 e nella Figura 12G, l’assieme di die embedded 1002 avente interconnessioni 1244 formate in esso è esposto a un processo di incisione chimica dello strato di seme per rimuovere lo strato di barriera 1240 e lo strato di seme 1242. In una forma di attuazione, l’incisione chimica dello strato di seme è un processo di incisione chimica a secco comprendente un lavaggio e un essiccamento dell’assieme di die embedded 1002. In una forma di attuazione, il processo di incisione chimica dello strato di seme è un processo di incisione chimica tamponata selettivo per un materiale desiderato. In altre forme di attuazione, il processo di incisione chimica è un processo di incisione chimica acquosa diluita. Per il processo di incisione chimica dello strato di seme può essere usato un qualsiasi agente di attacco a umido adatto o una qualsiasi combinazione adatta di agenti di attacco a umido.
Successivamente al processo di incisione chimica dello strato di seme nell’operazione 1170, uno o più package funzionanti elettricamente possono essere sottoposti a singolazione dall’assieme di die embedded 1002. In alternativa, l’assieme di die embedded 1002 può avere formati su di esso ulteriori strati di ridistribuzione come necessario per permettere un rerouting dei punti di contatto delle interconnessioni 1244 in posizioni desiderate sulle superfici dell’assieme di die embedded 1002. La Figura 13 illustra un diagramma di flusso di un procedimento 1300 rappresentativo di formazione di un secondo strato di ridistribuzione sull’assieme di die embedded 1002. Le Figure da 14A a 14L illustrano schematicamente viste in sezione trasversale dell’assieme di die embedded 1002 in stadi differenti del procedimento 1300 come rappresentato nella Figura 13. Così, la Figura 13 e le Figure da 14A a 14L sono descritte qui insieme per chiarezza.
Il procedimento 1300 è sostanzialmente simile ai procedimenti 900 e 1100 descritti in precedenza. In generale, il procedimento 1300 comincia in un’operazione 1302 e nella Figura 14A, in cui una pellicola isolante 1416 è formata sull’assieme di die embedded 1002 e in seguito è laminata. La pellicola isolante 1416 è sostanzialmente simile alla pellicola isolante 1016. In una forma di attuazione, come rappresentato nella Figura 14A, la pellicola isolante 1416 comprende uno strato di resina epossidica 1418 e uno o più strati protettivi. Per esempio, la pellicola isolante 1416 può comprendere uno strato protettivo 1422. Per la pellicola isolante 1416 è contemplata una qualsiasi combinazione adatta di strati e di materiali isolanti. La pellicola isolante 1416 ha uno spessore minore di circa 80 µm, come tra circa 5 µm e circa 60 µm. Per esempio, la pellicola isolante 1016 ha uno spessore tra circa 20 µm e circa 50 µm. In una forma di attuazione, lo strato di resina epossidica 1418 e lo strato di sostegno 1422 di PET hanno uno spessore combinato minore di circa 20 µm, come uno spessore tra circa 5 µm e circa 15 µm, come uno spessore di circa 10 µm. La pellicola isolante 1416 è posta su una superficie dell’assieme di die embedded 1002 avente interconnessioni 1244 esposte che sono accoppiate ai contatti 1030 sulla superficie attiva 1028 dei die 1026, come la superficie principale 1005.
Dopo il posizionamento della pellicola isolante 1416, l’assieme di die embedded 1002 è esposto a un processo di laminazione sostanzialmente simile al processo di laminazione descritto con riferimento all’operazione 940. L’assieme di die embedded 1002 è esposto a temperature elevate per ammorbidire lo strato di resina epossidica 1418, che si unisce successivamente allo strato di resina epossidica 1018 già unito con l’assieme di die embedded 1002. Così, lo strato di resina epossidica 1418 diventa integrato con lo strato di resina epossidica 1018 e sarà descritto qui con riferimento allo strato di resina epossidica 1018. L’integrazione dello strato di resina epossidica 1418 e dello strato di resina epossidica 1018 ha come risultato uno strato di resina epossidica 1018 espanso che copre le interconnessioni 1244 esposte precedentemente. In una forma di attuazione, il processo di laminazione è un processo di laminazione sottovuoto. In una forma di attuazione, il processo di laminazione è un processo di pressatura a caldo.
In una forma di attuazione, il processo di laminazione è effettuato a una temperatura tra circa 80ºC e circa 140ºC e per un periodo tra circa 1 minuto e circa 30 minuti. Per esempio, il processo di laminazione è effettuato a una temperatura tra circa 100ºC e circa 120ºC per un periodo tra circa 2 minuti e circa 10 minuti. Per esempio, il processo di laminazione è effettuato a una temperatura di circa 110ºC per un periodo di circa 5 minuti.
In un’operazione 1304 e nella Figura 14B, lo strato protettivo 1422 e il supporto 1424 sono rimossi dall’assieme di die embedded 1002 mediante processi meccanici. Dopo la rimozione degli strati 1422, 1424, l’assieme di die embedded 1002 è esposto a un processo di polimerizzazione per polimerizzare completamente il substrato 1002 con package. Per esempio, il processo di polimerizzazione è effettuato a una temperatura tra circa 140 ºC e circa 220 ºC e per un periodo tra circa 15 minuti e circa 45 minuti, come una temperatura tra circa 160 ºC e circa 200 ºC e per un periodo tra circa 25 minuti e circa 35 minuti. Per esempio, il processo di polimerizzazione è effettuato a una temperatura di circa 180ºC per un periodo di circa 30 minuti.
L’assieme di die embedded 1002 è quindi disegnato selettivamente mediante ablazione laser in un’operazione 1306 e nella Figura 14C. L’ablazione laser nell’operazione 1306 forma dei via di ridistribuzione 1403 attraverso lo strato di resina epossidica 1018 espanso di recente ed espone le interconnessioni 1244 desiderate per una ridistribuzione dei loro punti di contatto. In una forma di attuazione, i via 1403 hanno un diametro tra circa 25 µm e circa 50 µm, come un diametro tra circa 35 µm e circa 40 µm. In una forma di attuazione, il processo di ablazione laser nell’operazione 1306 è effettuato utilizzando un laser a CO2.
In una forma di attuazione, il processo di ablazione laser nell’operazione 1306 è effettuato utilizzando un laser a UV.
In un’operazione 1308 e nella Figura 14D, è effettuato un processo di de-smear sostanzialmente simile al processo di de-smear nell’operazione 980. Durante il processo di desmear nell’operazione 1308, le superfici dello strato di resina epossidica 1018 sono rese regolari ed è rimosso il danno causato dall’ablazione laser durante la formazione dei via 1403. In una forma di attuazione, il processo di desmear è un processo a umido. In una forma di attuazione, il processo di de-smear è un processo al plasma.
In un’operazione 1310 e nella Figura 14E, sono formati uno strato di barriera 1440 e uno strato di seme 1442 sullo strato di resina epossidica 1418. In una forma di attuazione, lo strato di barriera 1440 è formato da titanio, nitruro di titanio, tantalio, nitruro di tantalio, manganese, ossido di manganese, molibdeno, ossido di cobalto, nitruro di cobalto, o qualsiasi altro materiale adatto o loro combinazioni adatte. In una forma di attuazione, lo strato di barriera 1340 ha uno spessore tra circa 20nm e circa 200nm. Lo strato di barriera 1340 può essere formato mediante un qualsiasi processo di deposizione adatto, comprendendo CVD, PVD, PECVD, ALD o simili, ma non essendo limitati a questi.
Lo strato di seme 1442 è formato da un materiale conduttivo come rame, tungsteno, alluminio, argento, oro, o qualsiasi altro materiale adatto o loro combinazioni adatte. In una forma di attuazione, lo strato di seme 1442 ha uno spessore tra circa 0.1μm e circa 1μm. Similmente allo strato di barriera 1440, lo strato di seme 1442 può essere formato mediante un qualsiasi processo di deposizione adatto, come processi a secco di ALD, PECVD, PVD, CVD, processi di placcatura electroless, o simili. In una forma di attuazione, uno strato di barriera 1440 di molibdeno e uno strato di seme 1442 di rame sono formati sull’assieme di die embedded 1002 per ridurre la formazione di undercut durante un processo di incisione chimica dello strato di seme successivo in un’operazione 1322.
In operazioni 1312, 1314 e 1316, corrispondenti rispettivamente alle Figure 14F, 14G e 14H, una pellicola di resist 1450 a secco o spin-on/spray-on, come un fotoresist, è applicata sopra le superfici con seme dell’assieme di die embedded 1002 ed è successivamente disegnata e sviluppata. In una forma di attuazione, una sostanza acceleratrice dell’adesione (non rappresentata) è applicata all’assieme di die embedded 1002 prima del posizionamento della pellicola di resist 1450. L’esposizione e lo sviluppo della pellicola di resist 1450 hanno come risultato l’apertura dei via 1403. Così, la realizzazione del disegno della pellicola di resist 1450 può essere effettuata esponendo selettivamente porzioni della pellicola di resist 1450 a una radiazione UV, e lo sviluppo successivo della pellicola di resist 1450 può essere effettuato mediante un processo a umido, come un processo di incisione chimica a umido. In una forma di attuazione, il processo di sviluppo della pellicola di resist è un processo di incisione chimica a umido che utilizza un processo di incisione chimica tamponata selettivo per un materiale desiderato. In altre forme di attuazione, il processo di sviluppo della pellicola di resist è un processo di incisione chimica a umido che utilizza un processo di incisione chimica acquosa. Per il processo di sviluppo della pellicola di resist può essere usato un qualsiasi agente di attacco a umido adatto o una qualsiasi combinazione adatta di agenti di attacco a umido.
In operazioni 1318 e 1320, corrispondenti rispettivamente alle Figure 14I e 14J, connessioni di ridistribuzione 1444 sono elettroplaccate attraverso i via 1403 esposti e in seguito la pellicola di resist 1450 è rimossa. In una forma di attuazione, la pellicola di resist 1450 è rimossa mediante un processo a umido. Come rappresentato nelle Figure 14I e 14J, le connessioni di ridistribuzione 1444 elettroplaccate riempiono i via 1403 e sporgono dalle superfici del substrato 1002 con package alla rimozione della pellicola di resist 1450. In una forma di attuazione, le connessioni di ridistribuzione 1444 sono formate da rame. In altre forme di attuazione, le connessioni di ridistribuzione 1444 possono essere formate da un qualsiasi materiale conduttore adatto, comprendendo alluminio, oro, nichel, argento, palladio, stagno o simili, ma non essendo limitati a questi.
In un’operazione 1322 e nella Figura 14K, l’assieme di die embedded 1002 avente le connessioni di ridistribuzione 1444 formate su di esso è esposto a un processo di incisione chimica dello strato di seme sostanzialmente simile a quello dell’operazione 1170. In una forma di attuazione, l’incisione chimica dello strato di seme è un processo di incisione chimica a umido comprendente un lavaggio e un essiccamento dell’assieme di die embedded 1002. In una forma di attuazione, il processo di incisione chimica dello strato di seme è un processo di incisione chimica a umido che utilizza un processo di incisione chimica tamponata selettivo per un materiale desiderato dello strato di seme 1442. In altre forme di attuazione, il processo di incisione chimica è un processo di incisione chimica a umido che utilizza un processo di incisione chimica acquosa. Per il processo di incisione chimica dello strato di seme può essere usato un qualsiasi agente di attacco a umido adatto o una qualsiasi combinazione adatta di agenti di attacco a umido. Al completamento del processo di incisione chimica dello strato di seme nell’operazione 1322, possono essere formati uno o più strati di ridistribuzione aggiuntivi sull’assieme di die embedded 1002 utilizzando le sequenze e i processi descritti in precedenza. In un’operazione 1324 e nella Figura 14L, uno o più package 1402 completati sono quindi sottoposti a singolazione dall’assieme di die embedded 1002 dopo che sono stati formati tutti gli strati di ridistribuzione desiderati.
Le forme di attuazione qui descritte forniscono vantaggiosamente procedimenti perfezionati di strutturazione di un substrato e di assemblaggio dei die per fabbricare package di circuiti integrati avanzati. Utilizzando i procedimenti descritti in precedenza, possono essere formate caratteristiche con alto rapporto di aspetto su substrati di vetro e/o di silicio, permettendo così la formazione economica di package a semiconduttore più sottili e più stretti. I package sottili e con un piccolo fattore di forma fabbricati utilizzando i procedimenti descritti in precedenza forniscono i vantaggi non solo di una alta densità degli I/O e una larghezza di banda e una potenza migliorate, ma anche una maggiore affidabilità con basso stress attribuita all’inerzia/peso ridotto e a un’architettura del package che consente una distribuzione flessibile delle solder ball. Ulteriori meriti dei procedimenti descritti in precedenza comprendono la fabbricazione economica come una capacità di metallizzazione su doppio lato (“dual-sided”) e una resa di produzione elevata eliminando le fasi di attacco flip-chip e di over-molding, che sono prone a un danneggiamento delle caratteristiche nella fabbricazione ad alti volumi dei package tradizionali e di quelli avanzati.
Sebbene ciò che precede sia rivolto a forme di attuazione della presente descrizione, possono essere concepite altre e ulteriori forme di attuazione della descrizione senza uscire dal suo ambito basilare, e il suo ambito è determinato dalle rivendicazioni che seguono.

Claims (23)

  1. RIVENDICAZIONI 1. Procedimento di formazione di un dispositivo a semiconduttore, comprendente: strutturare un substrato per formare un frame del package, in cui una o più cavità e uno o più via sono formati nel frame del package; porre uno o più die all’interno dell’una o più cavità del frame del package; formare un package intorno al frame del package e ai die, il package formato da un materiale di resina epossidica; formare una o più interconnessioni attraverso il package; formare uno strato di ridistribuzione sul package, lo strato di ridistribuzione ricollocando uno o più punti di contatto dell’una o più interconnessioni in posizioni desiderate sulla superficie del package.
  2. 2. Procedimento secondo la rivendicazione 1, in cui lo strutturare il substrato comprende inoltre: esporre il substrato a un primo processo di incisione chimica per rimuovere i difetti meccanici causati dalla suddivisione in fette; formare uno strato di resist sul substrato, lo strato di resist essendo unito al substrato da uno strato adesivo di resist; realizzare un disegno dello strato di resist esponendo lo strato di resist a una radiazione elettromagnetica; spingere le particelle di polvere verso il substrato per realizzare il disegno delle regioni esposte del substrato; esporre il substrato a un secondo processo di incisione chimica per rimuovere scorie indesiderate dal substrato, il secondo processo di incisione chimica rendendo inoltre regolari una o più superfici del substrato; rimuovere lo strato di resist esponendo il substrato a un processo di strappamento del resist; e ossidare il substrato per formare una pellicola di ossido isolante su superfici desiderate del substrato.
  3. 3. Procedimento secondo la rivendicazione 2, in cui lo strato adesivo di resist è un materiale per la realizzazione di disegni solubile in un solvente o in acqua.
  4. 4. Procedimento secondo la rivendicazione 2, in cui lo strato di resist è un fotoresist.
  5. 5. Procedimento secondo la rivendicazione 4, in cui lo strato di resist è disegnato mediante esposizione a una radiazione UV.
  6. 6. Procedimento secondo la rivendicazione 2, in cui lo strato di resist è disegnato mediante ablazione laser.
  7. 7. Procedimento secondo la rivendicazione 2, in cui le particelle di polvere sono formate da un materiale ceramico.
  8. 8. Procedimento secondo la rivendicazione 2, in cui lo strato di resist è formato da alcol di polivinile.
  9. 9. Procedimento secondo la rivendicazione 2, in cui l’una o più cavità hanno dimensioni laterali tra circa 5 mm e circa 15 mm.
  10. 10. Procedimento secondo la rivendicazione 1, in cui formare un package intorno al frame del package comprende inoltre: porre il frame del package su una prima pellicola isolante; porre l’uno o più die a semiconduttore all’interno delle cavità formate nel frame del package e applicare i die a semiconduttore alla pellicola isolante; porre una seconda pellicola isolante sopra il frame del package e a contatto con superfici attive dei die; laminare la prima e la seconda pellicola isolante sul frame del package per riempire i divari formati dalle cavità e dai via; perforare uno o più via passanti attraverso l’assieme attraverso i via riempiti nel frame del package per formare canali che si estendono lungo lo spessore del package; perforare uno o più fori di contatto nel package per esporre contatti elettrici delle superfici attive dei die; e esporre il package a un processo di de-smear.
  11. 11. Procedimento secondo la rivendicazione 10, in cui l’uno o più via passanti attraverso l’assieme e l’uno o più fori di contatto sono perforati mediante ablazione laser.
  12. 12. Procedimento secondo la rivendicazione 11, in cui l’ablazione laser è effettuata con un laser a ultravioletto.
  13. 13. Procedimento secondo la rivendicazione 11, in cui l’ablazione laser è effettuata con un laser a CO2.
  14. 14. Procedimento secondo la rivendicazione 11, in cui l’uno o più via passanti attraverso il package hanno un diametro tra circa 25 µm e circa 50 µm.
  15. 15. Procedimento secondo la rivendicazione 11, in cui l’uno o più fori di contatto hanno un diametro tra circa 25 µm e 50 µm.
  16. 16. Procedimento secondo la rivendicazione 1, in cui formare una o più interconnessioni attraverso il package comprende inoltre: depositare uno strato di barriera su superfici desiderate del package; depositare uno strato di seme sullo strato di barriera; applicare una pellicola di resist sul package; disegnare e sviluppare la pellicola di resist per esporre fori di contatto e via passanti attraverso il package perforati nel package; elettroplaccare interconnessioni nei fori di contatto e nei via passanti attraverso il package; rimuovere la pellicola di resist dal package; e esporre il package a un processo di incisione chimica dello strato di seme per rimuovere lo strato di barriera e lo strato di seme.
  17. 17. Procedimento secondo la rivendicazione 16, in cui la pellicola di resist è un fotoresist.
  18. 18. Procedimento secondo la rivendicazione 16, in cui lo strato di barriera è formato da molibdeno e lo strato di seme è formato da rame.
  19. 19. Procedimento secondo la rivendicazione 1, in cui formare uno strato di ridistribuzione sul package comprende inoltre: porre e laminare una pellicola isolante su una superficie del package per coprire porzioni esposte delle interconnessioni con un materiale di resina epossidica; realizzare un disegno del package mediante ablazione laser per formare dei via di ridistribuzione esponendo interconnessioni desiderate formate nel package, i via di ridistribuzione formati per effettuare un rerouting di punti di contatto delle interconnessioni in posizioni desiderate sulla superficie del package; esporre il package a un processo di de-smear; depositare uno strato di barriera sopra superfici desiderate del package; depositare uno strato di seme sopra lo strato di barriera; porre una pellicola di resist sopra le superfici con seme del package; disegnare e sviluppare la pellicola di resist per esporre i via di ridistribuzione formati mediante ablazione laser; formare connessioni di ridistribuzione mediante elettroplaccatura dei via di ridistribuzione esposti; rimuovere la pellicola di resist dal package; e esporre il package a un processo di incisione chimica dello strato di seme per rimuovere lo strato di barriera e lo strato di seme.
  20. 20. Procedimento secondo la rivendicazione 1, in cui lo strutturare il substrato comprende inoltre: esporre il substrato a un primo processo di incisione chimica per rimuovere difetti meccanici sul substrato; realizzare un disegno del substrato mediante ablazione laser diretta; esporre il substrato a un secondo processo di incisione chimica per rimuovere scorie indesiderate dal substrato, il secondo processo di incisione chimica rendendo inoltre regolari una o più superfici del substrato; e ossidare il substrato per formare una pellicola di ossido isolante su superfici desiderate del substrato.
  21. 21. Procedimento secondo la rivendicazione 20, in cui il substrato è un wafer solare di silicio.
  22. 22. Procedimento di formazione di un dispositivo a semiconduttore, comprendente: strutturare un substrato per formare un frame del package, comprendendo: formare uno strato di resist sul substrato; realizzare un disegno dello strato di resist esponendo lo strato di resist a una radiazione elettromagnetica; spingere un flusso continuo di particelle di polvere sotto alta pressione verso il substrato per asportare e rimuovere materiale dal substrato; esporre il substrato a un processo di incisione chimica per rimuovere scorie indesiderate dal substrato, il processo di incisione chimica rendendo inoltre regolari una o più superfici del substrato; rimuovere lo strato di resist esponendo il substrato a un processo di strappamento del resist; e ossidare il substrato per formare una pellicola di ossido isolante su superfici desiderate del substrato; integrare uno o più die a semiconduttore all’interno del frame del package; formare un package intorno al frame del package, il package formato da un materiale di resina epossidica; e formare una o più interconnessioni attraverso il package, le interconnessioni elettroplaccate all’interno di uno o più via passanti attraverso il package perforati attraverso il package.
  23. 23. Procedimento di formazione di un dispositivo a semiconduttore, comprendente: strutturare un substrato per formare un frame del package, comprendente: formare uno strato di resist sul substrato; realizzare un disegno dello strato di resist esponendo lo strato di resist a una radiazione elettromagnetica; spingere un flusso continuo di particelle di polvere sotto alta pressione verso il substrato per asportare e rimuovere materiale dal substrato, l’asportazione e la rimozione del materiale formando delle cavità e dei via nel substrato; esporre il substrato a un processo di incisione chimica per rimuovere scorie indesiderate dal substrato, il processo di incisione chimica comprendendo inoltre di rendere regolari una o più superfici del substrato; rimuovere lo strato di resist esponendo il substrato a un processo di strappamento del resist; e ossidare il substrato per formare una pellicola di ossido isolante su superfici desiderate del substrato; porre il frame del package su una prima pellicola isolante, la pellicola isolante formata da un materiale di resina epossidica; porre uno o più die all’interno delle cavità formate nel frame del package; porre una seconda pellicola isolante sopra il frame del package e fare contatto con le superfici attive dei die; laminare la prima e la seconda pellicola isolante sul frame del package per riempire i divari formati dalle cavità e i via con il materiale di resina epossidica, la laminazione della prima e della seconda pellicola isolante formando un package; perforare uno o più via passanti attraverso l’assieme attraverso i via riempiti per formare canali che si estendono lungo lo spessore del package; perforare uno o più fori di contatto nel package per esporre contatti elettrici delle superfici attive dei die; depositare uno strato di barriera su superfici desiderate del package; depositare uno strato di seme sullo strato di barriera; applicare una pellicola di resist sul package; disegnare e sviluppare la pellicola di resist per esporre fori di contatto e via passanti attraverso il package perforati nel package; formare interconnessioni mediante elettroplaccatura dei fori di contatto e dei via passanti attraverso il package; rimuovere la pellicola di resist dal package; e esporre il package a un processo di incisione chimica dello strato di seme per rimuovere lo strato di barriera e lo strato di seme.
IT102019000006736A 2019-05-10 2019-05-10 Procedimenti di fabbricazione di package IT201900006736A1 (it)

Priority Applications (29)

Application Number Priority Date Filing Date Title
IT102019000006736A IT201900006736A1 (it) 2019-05-10 2019-05-10 Procedimenti di fabbricazione di package
US16/687,567 US11264331B2 (en) 2019-05-10 2019-11-18 Package structure and fabrication methods
US16/746,711 US10886232B2 (en) 2019-05-10 2020-01-17 Package structure and fabrication methods
PCT/US2020/026874 WO2020231545A1 (en) 2019-05-10 2020-04-06 Package structure and fabrication methods
CN202080034788.1A CN113811994A (zh) 2019-05-10 2020-04-06 封装结构及制作方法
JP2021566586A JP7386902B2 (ja) 2019-05-10 2020-04-06 パッケージの構成及び製造の方法
KR1020237041469A KR20230169442A (ko) 2019-05-10 2020-04-06 패키지 구조 및 제작 방법들
KR1020217040365A KR102610674B1 (ko) 2019-05-10 2020-04-06 패키지 구조 및 제작 방법들
US16/841,766 US11417605B2 (en) 2019-05-10 2020-04-07 Reconstituted substrate for radio frequency applications
TW109114878A TW202107636A (zh) 2019-05-10 2020-05-05 封裝結構及製作方法
US16/870,843 US11264333B2 (en) 2019-05-10 2020-05-08 Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
KR1020217040372A KR102651523B1 (ko) 2019-05-10 2020-05-08 이종 패키징 통합을 위한 재구성된 기판 구조 및 제조 방법들
CN202080034003.0A CN113795910A (zh) 2019-05-10 2020-05-08 用于异质封装集成的重构基板结构和制造方法
JP2021566585A JP7350890B2 (ja) 2019-05-10 2020-05-08 異種パッケージング統合のための再構成基板構造及び製造方法
PCT/US2020/032245 WO2020231871A1 (en) 2019-05-10 2020-05-08 Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
TW109115574A TWI797446B (zh) 2019-05-10 2020-05-11 用於異質封裝整合的重構基板結構與製造方法
TW112107529A TWI838146B (zh) 2019-05-10 2020-05-11 用於異質封裝整合的重構基板結構與製造方法
US17/005,955 US11398433B2 (en) 2019-05-10 2020-08-28 Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US17/005,905 US11476202B2 (en) 2019-05-10 2020-08-28 Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
KR1020210043866A KR20210124920A (ko) 2019-05-10 2021-04-05 무선 주파수 애플리케이션들을 위한 재구성된 기판
TW110112309A TW202209620A (zh) 2019-05-10 2021-04-06 用於射頻應用之重組基板
CN202110372367.6A CN113496984A (zh) 2019-05-10 2021-04-07 用于射频应用的重构基板
US17/227,983 US11715700B2 (en) 2019-05-10 2021-04-12 Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
US17/227,811 US11521935B2 (en) 2019-05-10 2021-04-12 Package structure and fabrication methods
US17/872,731 US20220359409A1 (en) 2019-05-10 2022-07-25 Reconstituted substrate for radio frequency applications
US18/075,141 US11887934B2 (en) 2019-05-10 2022-12-05 Package structure and fabrication methods
US18/362,433 US20240021533A1 (en) 2019-05-10 2023-07-31 Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
JP2023147957A JP2023179475A (ja) 2019-05-10 2023-09-12 異種パッケージング統合のための再構成基板構造及び製造方法
JP2023193793A JP2024023298A (ja) 2019-05-10 2023-11-14 パッケージの構成及び製造の方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
IT102019000006736A IT201900006736A1 (it) 2019-05-10 2019-05-10 Procedimenti di fabbricazione di package

Publications (1)

Publication Number Publication Date
IT201900006736A1 true IT201900006736A1 (it) 2020-11-10

Family

ID=67470582

Family Applications (1)

Application Number Title Priority Date Filing Date
IT102019000006736A IT201900006736A1 (it) 2019-05-10 2019-05-10 Procedimenti di fabbricazione di package

Country Status (7)

Country Link
US (11) US11264331B2 (it)
JP (4) JP7386902B2 (it)
KR (4) KR20230169442A (it)
CN (3) CN113811994A (it)
IT (1) IT201900006736A1 (it)
TW (3) TW202107636A (it)
WO (2) WO2020231545A1 (it)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
CN112086547A (zh) * 2019-06-13 2020-12-15 光宝光电(常州)有限公司 发光二极管封装结构
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
JP2022002249A (ja) * 2020-06-19 2022-01-06 キオクシア株式会社 半導体装置およびその製造方法
CN111785645B (zh) * 2020-07-13 2021-12-03 珠海越亚半导体股份有限公司 封装基板及其制作方法
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11742282B2 (en) * 2020-08-07 2023-08-29 Micron Technology, Inc. Conductive interconnects
US11315890B2 (en) 2020-08-11 2022-04-26 Applied Materials, Inc. Methods of forming microvias with reduced diameter
KR20220028741A (ko) * 2020-08-31 2022-03-08 에스케이하이닉스 주식회사 적층 반도체 칩을 포함하는 반도체 패키지
US11462488B2 (en) * 2020-09-03 2022-10-04 Intel Corporation Substrate cores for warpage control
US11521937B2 (en) * 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
EP4016618A1 (en) * 2020-12-21 2022-06-22 Hamilton Sundstrand Corporation Power device packaging
CN112820693B (zh) * 2020-12-31 2022-03-04 广东工业大学 一种基于纳米金属的嵌入式三维互连结构制备方法
CN112908943A (zh) * 2021-01-12 2021-06-04 华为技术有限公司 一种埋入式封装结构及其制备方法、终端设备
US20220238473A1 (en) * 2021-01-25 2022-07-28 Stmicroelectronics S.R.L. Method of manufacturing semiconductor devices and corresponding semiconductor device
US11715696B2 (en) * 2021-04-22 2023-08-01 Micron Technology, Inc. Semiconductor devices with recessed pads for die stack interconnections
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
KR20230012365A (ko) * 2021-07-15 2023-01-26 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US20230044903A1 (en) * 2021-08-04 2023-02-09 Nxp Usa, Inc. Semiconductor device with rf interposer and method therefor
US20230129405A1 (en) * 2021-10-26 2023-04-27 Applied Materials, Inc. Semiconductor device packaging methods
US20230207437A1 (en) * 2021-11-05 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Multi-channel device stacking
US20230148220A1 (en) * 2021-11-11 2023-05-11 Applied Materials, Inc. Semiconductor device packages
US20230187222A1 (en) * 2021-12-13 2023-06-15 Applied Materials, Inc. Adapting electrical, mechanical, and thermal properties of package substrates
US20230317633A1 (en) * 2022-03-30 2023-10-05 Win Semiconductors Corp. Semiconductor chip
US20230378047A1 (en) * 2022-05-18 2023-11-23 Applied Materials, Inc. Semiconductor device packages with enhanced thermo-mechanical reliability
CN115172176B (zh) * 2022-09-06 2023-09-22 合肥圣达电子科技实业有限公司 陶瓷基板及其制备方法、微波器件及其封装外壳结构
TWI826325B (zh) * 2023-01-06 2023-12-11 南亞科技股份有限公司 具有聚合物襯墊的半導體元件
CN116666231A (zh) * 2023-08-01 2023-08-29 广东佛智芯微电子技术研究有限公司 嵌入式芯片扇出型封装结构及其制备方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0264134A2 (en) * 1986-10-16 1988-04-20 International Business Machines Corporation Zirconium as an adhesion material in a multi-layer wiring substrate
US5474834A (en) * 1992-03-09 1995-12-12 Kyocera Corporation Superconducting circuit sub-assembly having an oxygen shielding barrier layer
EP1536673A1 (en) * 2002-05-30 2005-06-01 Taiyo Yuden Co., Ltd. Composite multi-layer substrate and module using the substrate
US20090250823A1 (en) * 2008-04-04 2009-10-08 Racz Livia M Electronic Modules and Methods for Forming the Same
US20110062594A1 (en) * 2008-10-16 2011-03-17 Dai Nippon Printing, Co., Ltd. Through hole electrode substrate, method for manufacturing the through hole electrode substrate, and semiconductor device using the through hole electrode substrate
EP2942808A1 (en) * 2013-01-07 2015-11-11 A.L.M.T. Corp. Ceramic wiring substrate, semiconductor device, and method for manufacturing ceramic wiring substrate
US20160020163A1 (en) * 2014-07-16 2016-01-21 Shinko Electric Industries Co., Ltd. Wiring Substrate and Semiconductor Device
US20160336296A1 (en) * 2015-05-15 2016-11-17 Samsung Electro-Mechanics Co., Ltd. Electronic component package and package-on-package structure including the same

Family Cites Families (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3069560A (en) 1959-03-09 1962-12-18 Burroughs Corp Pulse amplifier with means maintaining current drain constant in different conductive states
US4073610A (en) 1976-02-05 1978-02-14 Cox Bernard K Apparatus for producing a foldable plastic strip
JPH0494592A (ja) 1990-08-10 1992-03-26 Cmk Corp プリント配線板におけるスルーホールに対する充填材の充填方法
US5126016A (en) 1991-02-01 1992-06-30 International Business Machines Corporation Circuitization of polymeric circuit boards with galvanic removal of chromium adhesion layers
US5519332A (en) 1991-06-04 1996-05-21 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
JP2819523B2 (ja) 1992-10-09 1998-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 印刷配線板及びその製造方法
US5367143A (en) 1992-12-30 1994-11-22 International Business Machines Corporation Apparatus and method for multi-beam drilling
US5353195A (en) 1993-07-09 1994-10-04 General Electric Company Integral power and ground structure for multi-chip modules
US5688716A (en) 1994-07-07 1997-11-18 Tessera, Inc. Fan-out semiconductor chip assembly
US5783870A (en) 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5670262A (en) 1995-05-09 1997-09-23 The Dow Chemical Company Printing wiring board(s) having polyimidebenzoxazole dielectric layer(s) and the manufacture thereof
US5767480A (en) 1995-07-28 1998-06-16 National Semiconductor Corporation Hole generation and lead forming for integrated circuit lead frames using laser machining
US6631558B2 (en) 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
AU3301197A (en) 1996-06-05 1998-01-05 Larry W. Burgess Blind via laser drilling system
US5841102A (en) 1996-11-08 1998-11-24 W. L. Gore & Associates, Inc. Multiple pulse space processing to enhance via entrance formation at 355 nm
WO1998044319A1 (en) 1997-04-03 1998-10-08 Yamatake Corporation Circuit board and detector, and method for manufacturing the same
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
US6388202B1 (en) 1997-10-06 2002-05-14 Motorola, Inc. Multi layer printed circuit board
US6038133A (en) 1997-11-25 2000-03-14 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module and method for producing the same
GB9811328D0 (en) 1998-05-27 1998-07-22 Exitech Ltd The use of mid-infrared lasers for drilling microvia holes in printed circuit (wiring) boards and other electrical circuit interconnection packages
MY128333A (en) 1998-09-14 2007-01-31 Ibiden Co Ltd Printed wiring board and its manufacturing method
SE513341C2 (sv) 1998-10-06 2000-08-28 Ericsson Telefon Ab L M Arrangemang med tryckta kretskort samt metod för tillverkning därav
US6039889A (en) 1999-01-12 2000-03-21 Fujitsu Limited Process flows for formation of fine structure layer pairs on flexible films
US6117704A (en) 1999-03-31 2000-09-12 Irvine Sensors Corporation Stackable layers containing encapsulated chips
US6599836B1 (en) 1999-04-09 2003-07-29 Micron Technology, Inc. Planarizing solutions, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6212769B1 (en) 1999-06-29 2001-04-10 International Business Machines Corporation Process for manufacturing a printed wiring board
JP2003506216A (ja) 1999-08-03 2003-02-18 イクシィル・テクノロジー・リミテッド 回路シンギュレーションシステム及び方法
KR20080111567A (ko) 1999-09-02 2008-12-23 이비덴 가부시키가이샤 프린트배선판 및 그 제조방법
CN1183811C (zh) 1999-09-30 2005-01-05 西门子公司 层压板的激光钻孔方法和装置
US6538210B2 (en) 1999-12-20 2003-03-25 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module, radio device having the same, and method for producing the same
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6661084B1 (en) 2000-05-16 2003-12-09 Sandia Corporation Single level microelectronic device package with an integral window
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US20020048715A1 (en) 2000-08-09 2002-04-25 Bret Walczynski Photoresist adhesive and method
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459046B1 (en) 2000-08-28 2002-10-01 Matsushita Electric Industrial Co., Ltd. Printed circuit board and method for producing the same
WO2002027786A1 (fr) 2000-09-25 2002-04-04 Ibiden Co., Ltd. Element semi-conducteur, procede de fabrication d'un element semi-conducteur, carte a circuit imprime multicouche, et procede de fabrication d'une carte a circuit imprime multicouche
US20020070443A1 (en) 2000-12-08 2002-06-13 Xiao-Chun Mu Microelectronic package having an integrated heat sink and build-up layers
JP4108285B2 (ja) 2000-12-15 2008-06-25 イビデン株式会社 多層プリント配線板の製造方法
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
US6388207B1 (en) 2000-12-29 2002-05-14 Intel Corporation Electronic assembly with trench structures and methods of manufacture
JP5004378B2 (ja) 2001-01-10 2012-08-22 イビデン株式会社 多層プリント配線板
TW511415B (en) 2001-01-19 2002-11-21 Matsushita Electric Ind Co Ltd Component built-in module and its manufacturing method
JP2001244591A (ja) 2001-02-06 2001-09-07 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US6512182B2 (en) 2001-03-12 2003-01-28 Ngk Spark Plug Co., Ltd. Wiring circuit board and method for producing same
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
CN1287945C (zh) 2001-03-22 2006-12-06 埃克赛尔技术有限公司 激光加工系统和方法
US6465084B1 (en) 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US20030059976A1 (en) 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
JP2003188340A (ja) 2001-12-19 2003-07-04 Matsushita Electric Ind Co Ltd 部品内蔵モジュールとその製造方法
JP3998984B2 (ja) 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6506632B1 (en) 2002-02-15 2003-01-14 Unimicron Technology Corp. Method of forming IC package having downward-facing chip cavity
US7358157B2 (en) 2002-03-27 2008-04-15 Gsi Group Corporation Method and system for high-speed precise laser trimming, scan lens system for use therein and electrical device produced thereby
US7028400B1 (en) 2002-05-01 2006-04-18 Amkor Technology, Inc. Integrated circuit substrate having laser-exposed terminals
JP3871609B2 (ja) 2002-05-27 2007-01-24 松下電器産業株式会社 半導体装置及びその製造方法
JP3822549B2 (ja) * 2002-09-26 2006-09-20 富士通株式会社 配線基板
JP3908146B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 半導体装置及び積層型半導体装置
US6905914B1 (en) 2002-11-08 2005-06-14 Amkor Technology, Inc. Wafer level package and fabrication method
US7091589B2 (en) 2002-12-11 2006-08-15 Dai Nippon Printing Co., Ltd. Multilayer wiring board and manufacture method thereof
US7105931B2 (en) 2003-01-07 2006-09-12 Abbas Ismail Attarwala Electronic package and method
US8704359B2 (en) 2003-04-01 2014-04-22 Ge Embedded Electronics Oy Method for manufacturing an electronic module and an electronic module
JP2004311788A (ja) 2003-04-08 2004-11-04 Matsushita Electric Ind Co Ltd シート状モジュールとその製造方法
JP2004335641A (ja) 2003-05-06 2004-11-25 Canon Inc 半導体素子内蔵基板の製造方法
EP1478021B1 (en) 2003-05-15 2008-07-16 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
TWI245597B (en) * 2003-06-30 2005-12-11 Siliconware Precision Industries Co Ltd Printed circuit boards and method for fabricating the same
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
CN1577819A (zh) 2003-07-09 2005-02-09 松下电器产业株式会社 带内置电子部件的电路板及其制造方法
US7271012B2 (en) 2003-07-15 2007-09-18 Control Systemation, Inc. Failure analysis methods and systems
EP2937897A3 (en) 2003-09-15 2016-03-23 Nuvotronics LLC Device package and methods for the fabrication and testing thereof
US7064069B2 (en) 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
JP4081052B2 (ja) 2003-12-05 2008-04-23 三井金属鉱業株式会社 プリント配線基板の製造法
JP4271590B2 (ja) 2004-01-20 2009-06-03 新光電気工業株式会社 半導体装置及びその製造方法
US7309515B2 (en) 2004-02-04 2007-12-18 Industrial Technology Research Institute Method for fabricating an imprint mold structure
TWI256095B (en) 2004-03-11 2006-06-01 Siliconware Precision Industries Co Ltd Wafer level semiconductor package with build-up layer and process for fabricating the same
JP4551135B2 (ja) * 2004-06-14 2010-09-22 新光電気工業株式会社 配線基板の製造方法
US20060000814A1 (en) 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
JP4265997B2 (ja) * 2004-07-14 2009-05-20 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US8571541B2 (en) 2004-07-15 2013-10-29 Avaya Inc. Proximity-based authorization
DE102004038852B4 (de) 2004-08-10 2006-06-29 Webasto Ag Spritzgießmaschine
US20080090095A1 (en) 2004-09-01 2008-04-17 Sumitomo Metal Mining Co., Ltd. Adhesiveless Copper Clad Laminates And Method For Manufacturing Thereof
TWI241007B (en) 2004-09-09 2005-10-01 Phoenix Prec Technology Corp Semiconductor device embedded structure and method for fabricating the same
TW200618705A (en) 2004-09-16 2006-06-01 Tdk Corp Multilayer substrate and manufacturing method thereof
JP3841096B2 (ja) * 2004-09-28 2006-11-01 セイコーエプソン株式会社 配線パターンの形成方法、多層配線基板の製造方法、電子機器
US20060073234A1 (en) 2004-10-06 2006-04-06 Williams Michael E Concrete stamp and method of manufacture
JP4564342B2 (ja) 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
TWI301660B (en) 2004-11-26 2008-10-01 Phoenix Prec Technology Corp Structure of embedding chip in substrate and method for fabricating the same
TWI245384B (en) 2004-12-10 2005-12-11 Phoenix Prec Technology Corp Package structure with embedded chip and method for fabricating the same
TWI245388B (en) 2005-01-06 2005-12-11 Phoenix Prec Technology Corp Three dimensional package structure of semiconductor chip embedded in substrate and method for fabricating the same
US7579224B2 (en) 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
TWI260056B (en) 2005-02-01 2006-08-11 Phoenix Prec Technology Corp Module structure having an embedded chip
JP2006216714A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
JP2006216713A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
TWI283553B (en) 2005-04-21 2007-07-01 Ind Tech Res Inst Thermal enhanced low profile package structure and method for fabricating the same
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
KR100714196B1 (ko) 2005-07-11 2007-05-02 삼성전기주식회사 전기소자를 내장한 인쇄회로기판 및 그 제조방법
TWI263313B (en) 2005-08-15 2006-10-01 Phoenix Prec Technology Corp Stack structure of semiconductor component embedded in supporting board
US20070042563A1 (en) 2005-08-19 2007-02-22 Honeywell International Inc. Single crystal based through the wafer connections technical field
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR100772639B1 (ko) 2005-10-18 2007-11-02 한국기계연구원 다이아몬드상 카본 박막을 이용한 미세 임프린트리소그래피용 스탬프 및 그 제조방법
CN100524717C (zh) 2005-11-25 2009-08-05 全懋精密科技股份有限公司 芯片内埋的模块化结构
CN100463128C (zh) 2005-11-25 2009-02-18 全懋精密科技股份有限公司 半导体芯片埋入基板的三维构装结构及其制作方法
KR100688701B1 (ko) 2005-12-14 2007-03-02 삼성전기주식회사 랜드리스 비아홀을 구비한 인쇄회로기판의 제조방법
US7808799B2 (en) 2006-04-25 2010-10-05 Ngk Spark Plug Co., Ltd. Wiring board
KR101037229B1 (ko) 2006-04-27 2011-05-25 스미토모 베이클리트 컴퍼니 리미티드 반도체 장치 및 반도체 장치의 제조 방법
KR20090031349A (ko) 2006-04-28 2009-03-25 폴리셋 컴파니, 인코퍼레이티드 재분배층 적용을 위한 실록산 에폭시 중합체
WO2007126091A1 (ja) 2006-05-01 2007-11-08 Mitsubishi Chemical Corporation エッチング方法、エッチングマスクおよびそれを用いた半導体装置の製造方法
JP2007311676A (ja) 2006-05-22 2007-11-29 Sony Corp 半導体装置とその製造方法
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR100731112B1 (ko) 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 포토 레지스트를 제거하기 위한 cmp 슬러리
JP5252792B2 (ja) 2006-08-25 2013-07-31 日本ミクロコーティング株式会社 酸化物超伝導体用テープ基材の研磨方法並びに酸化物超伝導体及び酸化物超伝導体用基材
JP4955349B2 (ja) * 2006-09-07 2012-06-20 新光電気工業株式会社 半導体装置
KR20080037296A (ko) 2006-10-25 2008-04-30 삼성전자주식회사 박막 트랜지스터 기판 및 그 제조방법
US7427562B2 (en) 2006-11-08 2008-09-23 Motorla, Inc. Method for fabricating closed vias in a printed circuit board
US20080136002A1 (en) 2006-12-07 2008-06-12 Advanced Chip Engineering Technology Inc. Multi-chips package and method of forming the same
US7915737B2 (en) 2006-12-15 2011-03-29 Sanyo Electric Co., Ltd. Packing board for electronic device, packing board manufacturing method, semiconductor module, semiconductor module manufacturing method, and mobile device
TWI330401B (en) 2006-12-25 2010-09-11 Unimicron Technology Corp Circuit board structure having embedded semiconductor component and fabrication method thereof
US20080173792A1 (en) 2007-01-23 2008-07-24 Advanced Chip Engineering Technology Inc. Image sensor module and the method of the same
KR101030769B1 (ko) 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
CN100561696C (zh) 2007-03-01 2009-11-18 全懋精密科技股份有限公司 嵌埋半导体芯片的结构及其制法
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
JP2008277339A (ja) 2007-04-25 2008-11-13 Tdk Corp 電子部品およびその製造方法
US7932175B2 (en) 2007-05-29 2011-04-26 Freescale Semiconductor, Inc. Method to form a via
US8710402B2 (en) 2007-06-01 2014-04-29 Electro Scientific Industries, Inc. Method of and apparatus for laser drilling holes with improved taper
FR2917234B1 (fr) * 2007-06-07 2009-11-06 Commissariat Energie Atomique Dispositif multi composants integres dans une matrice semi-conductrice.
US8143719B2 (en) 2007-06-07 2012-03-27 United Test And Assembly Center Ltd. Vented die and package
JP4551468B2 (ja) * 2007-09-05 2010-09-29 太陽誘電株式会社 電子部品内蔵型多層基板
US8314343B2 (en) 2007-09-05 2012-11-20 Taiyo Yuden Co., Ltd. Multi-layer board incorporating electronic component and method for producing the same
WO2009050207A1 (en) 2007-10-15 2009-04-23 Interuniversitair Microelectronica Centrum Vzw Method for producing electrical interconnects and devices made thereof
US8476769B2 (en) 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
JP5280079B2 (ja) 2008-03-25 2013-09-04 新光電気工業株式会社 配線基板の製造方法
CN102017176A (zh) * 2008-03-25 2011-04-13 应用材料股份有限公司 结晶太阳能电池的表面清洁与纹理化工艺
TW200948888A (en) * 2008-04-16 2009-12-01 Henkel Corp Flow controllable B-stageable composition
KR20090116168A (ko) 2008-05-06 2009-11-11 삼성전자주식회사 금속 배선 기판, 박막 트랜지스터 기판, 및 금속 배선의형성 방법
US7842542B2 (en) 2008-07-14 2010-11-30 Stats Chippac, Ltd. Embedded semiconductor die package and method of making the same using metal frame carrier
TWI512848B (zh) 2008-07-18 2015-12-11 United Test & Assembly Ct Lt 封裝結構性元件
KR20130038958A (ko) 2008-07-22 2013-04-18 생-고벵 아브라시프 집합체들을 함유하는 코팅된 연마 제품들
US20100062287A1 (en) 2008-09-10 2010-03-11 Seagate Technology Llc Method of polishing amorphous/crystalline glass to achieve a low rq & wq
US8723074B2 (en) 2008-10-10 2014-05-13 Ipg Microsystems Llc Laser machining systems and methods with vision correction and/or tracking
US7982305B1 (en) 2008-10-20 2011-07-19 Maxim Integrated Products, Inc. Integrated circuit package including a three-dimensional fan-out / fan-in signal routing
JP5111342B2 (ja) 2008-12-01 2013-01-09 日本特殊陶業株式会社 配線基板
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8592992B2 (en) 2011-12-14 2013-11-26 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure with conductive micro via array for 3-D Fo-WLCSP
KR20100067966A (ko) 2008-12-12 2010-06-22 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
CN102318451B (zh) 2008-12-13 2013-11-06 万佳雷射有限公司 用于激光加工相对窄和相对宽的结构的方法和设备
US7932608B2 (en) 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
KR101065744B1 (ko) 2009-02-27 2011-09-19 주식회사 티지솔라 요철구조가 형성된 기판을 이용한 태양전지의 제조방법
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
JP5413456B2 (ja) 2009-04-20 2014-02-12 日立化成株式会社 半導体基板用研磨液及び半導体基板の研磨方法
US7955942B2 (en) 2009-05-18 2011-06-07 Stats Chippac, Ltd. Semiconductor device and method of forming a 3D inductor from prefabricated pillar frame
CN101898405A (zh) 2009-05-27 2010-12-01 鸿富锦精密工业(深圳)有限公司 模具流道组合
TWI523720B (zh) 2009-05-28 2016-03-01 伊雷克托科學工業股份有限公司 應用於雷射處理工件中的特徵的聲光偏轉器及相關雷射處理方法
US20100307798A1 (en) 2009-06-03 2010-12-09 Izadian Jamal S Unified scalable high speed interconnects technologies
JP5534246B2 (ja) 2009-07-29 2014-06-25 日産化学工業株式会社 ナノインプリント用レジスト下層膜形成組成物
US8383457B2 (en) * 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TWI418272B (zh) 2009-08-25 2013-12-01 Samsung Electro Mech 處理核心基板之空腔的方法
TW201110285A (en) 2009-09-08 2011-03-16 Unimicron Technology Corp Package structure having embedded semiconductor element and method of forming the same
US8772087B2 (en) 2009-10-22 2014-07-08 Infineon Technologies Ag Method and apparatus for semiconductor device fabrication using a reconstituted wafer
KR101172647B1 (ko) 2009-10-22 2012-08-08 히다치 가세고교 가부시끼가이샤 연마제, 농축 1액식 연마제, 2액식 연마제 및 기판의 연마 방법
CN102230991B (zh) 2009-10-23 2013-01-09 鸿富锦精密工业(深圳)有限公司 光纤耦合连接器
JP5700241B2 (ja) 2009-11-09 2015-04-15 日立化成株式会社 多層配線基板及びその製造方法
KR20120102680A (ko) 2009-11-11 2012-09-18 암프리우스, 인코포레이티드 전극용 중간 층 제조하기
EP2339627A1 (en) 2009-12-24 2011-06-29 Imec Window interposed die packaging
JP5514559B2 (ja) * 2010-01-12 2014-06-04 新光電気工業株式会社 配線基板及びその製造方法並びに半導体パッケージ
US9196509B2 (en) 2010-02-16 2015-11-24 Deca Technologies Inc Semiconductor device and method of adaptive patterning for panelized packaging
US8822281B2 (en) 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
US8470708B2 (en) 2010-02-25 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
JP5904556B2 (ja) 2010-03-03 2016-04-13 ジョージア テック リサーチ コーポレイション 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法
KR101846588B1 (ko) 2010-04-12 2018-04-06 아이코닉스 코포레이션 포토레지스트막, 및 연마 식각 및 절삭 방법
US8970006B2 (en) 2010-06-15 2015-03-03 Stmicroelectronics S.R.L. Vertical conductive connections in semiconductor substrates
US8426961B2 (en) * 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
KR20190014128A (ko) 2010-08-02 2019-02-11 아토테크더치랜드게엠베하 기판 상에 솔더 성막 및 비용융 범프 구조들을 형성하는 방법
JP2012069926A (ja) 2010-08-21 2012-04-05 Ibiden Co Ltd プリント配線板及びプリント配線板の製造方法
US8518746B2 (en) 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
TWI434387B (zh) 2010-10-11 2014-04-11 Advanced Semiconductor Eng 具有穿導孔之半導體裝置及具有穿導孔之半導體裝置之封裝結構及其製造方法
TWI418269B (zh) 2010-12-14 2013-12-01 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US8617990B2 (en) 2010-12-20 2013-12-31 Intel Corporation Reduced PTH pad for enabling core routing and substrate layer count reduction
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP5693977B2 (ja) 2011-01-11 2015-04-01 新光電気工業株式会社 配線基板及びその製造方法
US8536695B2 (en) 2011-03-08 2013-09-17 Georgia Tech Research Corporation Chip-last embedded interconnect structures
JP2012195514A (ja) 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
US20120261805A1 (en) 2011-04-14 2012-10-18 Georgia Tech Research Corporation Through package via structures in panel-based silicon substrates and methods of making the same
WO2013008415A1 (ja) 2011-07-08 2013-01-17 パナソニック株式会社 配線基板および立体配線基板の製造方法
TWI492680B (zh) 2011-08-05 2015-07-11 Unimicron Technology Corp 嵌埋有中介層之封裝基板及其製法
JP2013074178A (ja) 2011-09-28 2013-04-22 Ngk Spark Plug Co Ltd 部品内蔵配線基板の製造方法
CN102437110B (zh) 2011-11-30 2015-07-29 北京大学 一种石墨烯垂直互连结构的制作方法
WO2013089754A1 (en) 2011-12-15 2013-06-20 Intel Corporation Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (bbul) packages
JP5099272B1 (ja) * 2011-12-26 2012-12-19 パナソニック株式会社 多層配線基板とその製造方法
US8772058B2 (en) 2012-02-02 2014-07-08 Harris Corporation Method for making a redistributed wafer using transferrable redistribution layers
EP2817819A4 (en) 2012-02-26 2015-09-02 Solexel Inc SYSTEMS AND METHOD FOR LASER DISTRIBUTION AND DEVICE LAYER TRANSMISSION
US9082780B2 (en) * 2012-03-23 2015-07-14 Stats Chippac, Ltd. Semiconductor device and method of forming a robust fan-out package including vertical interconnects and mechanical support layer
JP2013207006A (ja) * 2012-03-28 2013-10-07 Toppan Printing Co Ltd 貫通電極付き配線基板及びその製造方法
JP2013222889A (ja) * 2012-04-18 2013-10-28 Mitsubishi Chemicals Corp 三次元積層型半導体装置用の層間充填剤組成物およびその塗布液
US8698293B2 (en) 2012-05-25 2014-04-15 Infineon Technologies Ag Multi-chip package and method of manufacturing thereof
JP5981232B2 (ja) 2012-06-06 2016-08-31 新光電気工業株式会社 半導体パッケージ、半導体装置及び半導体パッケージの製造方法
JP6029342B2 (ja) 2012-06-15 2016-11-24 新光電気工業株式会社 配線基板及びその製造方法
DE102012210472A1 (de) 2012-06-21 2013-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines Bauelements mit einer elektrischen Durchkontaktierung
CN103635017B (zh) 2012-08-24 2016-12-28 碁鼎科技秦皇岛有限公司 电路板及其制作方法
US8890628B2 (en) 2012-08-31 2014-11-18 Intel Corporation Ultra slim RF package for ultrabooks and smart phones
SE538062C2 (sv) 2012-09-27 2016-02-23 Silex Microsystems Ab Kemiskt pläterad metallvia genom kisel
CN102890591B (zh) 2012-09-28 2016-03-09 北京京东方光电科技有限公司 一种触摸屏、触控显示装置及触摸屏的制造方法
KR20140042604A (ko) * 2012-09-28 2014-04-07 엘지이노텍 주식회사 인쇄회로기판 및 이의 제조 방법
US9385102B2 (en) 2012-09-28 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming supporting layer over semiconductor die in thin fan-out wafer level chip scale package
WO2014052130A1 (en) 2012-09-28 2014-04-03 Saint-Gobain Ceramics & Plastics, Inc. Modified microgrinding process
US20140103499A1 (en) 2012-10-11 2014-04-17 International Business Machines Corporation Advanced handler wafer bonding and debonding
KR101301507B1 (ko) 2012-11-26 2013-09-04 (주)씨엠코리아 반도체 제조장치용 히터 제조방법 및 그에 따라 제조된 히터
KR102072846B1 (ko) 2012-12-18 2020-02-03 에스케이하이닉스 주식회사 임베디드 패키지 및 제조 방법
KR20140083657A (ko) 2012-12-26 2014-07-04 하나 마이크론(주) 인터포저가 임베디드 되는 전자 모듈 및 그 제조방법
KR101441632B1 (ko) 2012-12-28 2014-09-23 (재)한국나노기술원 글라스 기반 프로브 카드용 스페이스 트랜스포머의 제조방법 및 이에 의해 제조된 글라스 기반 프로브 카드용 스페이스 트랜스포머
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
KR101494413B1 (ko) 2013-05-29 2015-02-17 주식회사 네패스 지지프레임 및 이를 이용한 반도체패키지 제조방법
US20140353019A1 (en) 2013-05-30 2014-12-04 Deepak ARORA Formation of dielectric with smooth surface
JP6214930B2 (ja) 2013-05-31 2017-10-18 スナップトラック・インコーポレーテッド 多層配線基板
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US8980691B2 (en) 2013-06-28 2015-03-17 Stats Chippac, Ltd. Semiconductor device and method of forming low profile 3D fan-out package
US9716066B2 (en) 2013-06-29 2017-07-25 Intel Corporation Interconnect structure comprising fine pitch backside metal redistribution lines combined with vias
US8952544B2 (en) 2013-07-03 2015-02-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10446335B2 (en) 2013-08-08 2019-10-15 Zhuhai Access Semiconductor Co., Ltd. Polymer frame for a chip, such that the frame comprises at least one via in series with a capacitor
JP6286169B2 (ja) * 2013-09-26 2018-02-28 新光電気工業株式会社 配線基板及びその製造方法
US9209151B2 (en) 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
US9530752B2 (en) 2013-11-11 2016-12-27 Infineon Technologies Ag Method for forming electronic components
US20160270242A1 (en) 2013-11-14 2016-09-15 Amogreentech Co., Ltd. Flexible printed circuit board and method for manufacturing same
US9159678B2 (en) 2013-11-18 2015-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10014292B2 (en) 2015-03-09 2018-07-03 Monolithic 3D Inc. 3D semiconductor device and structure
US9355881B2 (en) 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
WO2015126438A1 (en) 2014-02-20 2015-08-27 Applied Materials, Inc. Laser ablation platform for solar cells
JP6293918B2 (ja) 2014-03-12 2018-03-14 インテル コーポレイション 受動マイクロ電子デバイスをパッケージ本体内部に配置したマイクロ電子パッケージ
US9735134B2 (en) 2014-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with through-vias having tapered ends
US9499397B2 (en) 2014-03-31 2016-11-22 Freescale Semiconductor, Inc. Microelectronic packages having axially-partitioned hermetic cavities and methods for the fabrication thereof
US9326373B2 (en) 2014-04-09 2016-04-26 Finisar Corporation Aluminum nitride substrate
US10074631B2 (en) 2014-04-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
US9941198B2 (en) * 2014-04-24 2018-04-10 Sht Smart High-Tech Ab Method of manufacturing a flexible substrate with carbon nanotube vias and corresponding flexible substrate
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
SG11201608264YA (en) 2014-05-06 2016-10-28 Intel Corp Multi-layer package with integrated antenna
US10256180B2 (en) 2014-06-24 2019-04-09 Ibis Innotech Inc. Package structure and manufacturing method of package structure
US9396999B2 (en) 2014-07-01 2016-07-19 Freescale Semiconductor, Inc. Wafer level packaging method
CN105336670B (zh) 2014-07-14 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6394136B2 (ja) 2014-07-14 2018-09-26 凸版印刷株式会社 パッケージ基板およびその製造方法
KR20160013706A (ko) 2014-07-28 2016-02-05 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판의 제조 방법
CN105436718A (zh) 2014-08-26 2016-03-30 安捷利电子科技(苏州)有限公司 一种uv激光钻孔制备具有可控锥度盲孔的方法
US9991239B2 (en) 2014-09-18 2018-06-05 Intel Corporation Method of embedding WLCSP components in e-WLB and e-PLB
KR102268386B1 (ko) 2014-09-30 2021-06-23 삼성전기주식회사 회로기판
KR20160048277A (ko) 2014-10-23 2016-05-04 에스케이하이닉스 주식회사 칩 내장 패키지 및 그 제조방법
JP6428164B2 (ja) * 2014-10-31 2018-11-28 日立化成株式会社 半導体装置及びその製造方法
JP6539992B2 (ja) * 2014-11-14 2019-07-10 凸版印刷株式会社 配線回路基板、半導体装置、配線回路基板の製造方法、半導体装置の製造方法
US9554469B2 (en) 2014-12-05 2017-01-24 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Method of fabricating a polymer frame with a rectangular array of cavities
US9318376B1 (en) 2014-12-15 2016-04-19 Freescale Semiconductor, Inc. Through substrate via with diffused conductive component
US10269722B2 (en) 2014-12-15 2019-04-23 Bridge Semiconductor Corp. Wiring board having component integrated with leadframe and method of making the same
US9443799B2 (en) * 2014-12-16 2016-09-13 International Business Machines Corporation Interposer with lattice construction and embedded conductive metal structures
DE112014003166B4 (de) 2014-12-19 2021-09-23 Intel Corporation Gestapelte Halbleitervorrichtungsbaugruppe mit einer verbesserten Verbindungsbandbreite und Verfahren zur Herstellung einer solchen Baugruppe
US9754849B2 (en) 2014-12-23 2017-09-05 Intel Corporation Organic-inorganic hybrid structure for integrated circuit packages
JP2016171118A (ja) * 2015-03-11 2016-09-23 イビデン株式会社 回路基板及びその製造方法
KR102090984B1 (ko) 2015-03-31 2020-03-19 니끼 쇼꾸바이 카세이 가부시키가이샤 실리카계 복합 미립자 분산액, 그의 제조 방법 및 실리카계 복합 미립자 분산액을 포함하는 연마용 슬러리
US20160329299A1 (en) 2015-05-05 2016-11-10 Mediatek Inc. Fan-out package structure including antenna
US9842789B2 (en) 2015-05-11 2017-12-12 Samsung Electro-Mechanics Co., Ltd. Electronic component package and method of manufacturing the same
US9837484B2 (en) * 2015-05-27 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming substrate including embedded component with symmetrical structure
US9978720B2 (en) 2015-07-06 2018-05-22 Infineon Technologies Ag Insulated die
US20190189561A1 (en) 2015-07-15 2019-06-20 Chip Solutions, LLC Semiconductor device and method with multiple redistribution layer and fine line capability
US10636753B2 (en) * 2015-07-29 2020-04-28 STATS ChipPAC Pte. Ltd. Antenna in embedded wafer-level ball-grid array package
CN105023900A (zh) 2015-08-11 2015-11-04 华天科技(昆山)电子有限公司 埋入硅基板扇出型封装结构及其制造方法
US9601461B2 (en) * 2015-08-12 2017-03-21 Semtech Corporation Semiconductor device and method of forming inverted pyramid cavity semiconductor package
JP6542616B2 (ja) 2015-08-27 2019-07-10 古河電気工業株式会社 部品内蔵配線基板の製造方法、部品内蔵配線基板および電子部品固定用テープ
JP2017050315A (ja) * 2015-08-31 2017-03-09 イビデン株式会社 プリント配線板及びプリント配線板の製造方法
US9761571B2 (en) 2015-09-17 2017-09-12 Deca Technologies Inc. Thermally enhanced fully molded fan-out module
US10672701B2 (en) 2015-09-25 2020-06-02 Intel Corporation Thin electronic package elements using laser spallation
US9837352B2 (en) 2015-10-07 2017-12-05 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
WO2017074390A1 (en) 2015-10-29 2017-05-04 Intel Corporation Alternative surfaces for conductive pad layers of silicon bridges for semiconductor packages
TW201717343A (zh) 2015-11-04 2017-05-16 華亞科技股份有限公司 封裝上封裝構件及其製作方法
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
US9793204B2 (en) 2015-11-17 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Method of manufacturing semiconductor structure comprising plurality of through holes using metal hard mask
JP6626697B2 (ja) 2015-11-24 2019-12-25 京セラ株式会社 配線基板およびその製造方法
US10051742B2 (en) 2015-12-10 2018-08-14 Industrial Technology Research Institute Power module and manufacturing method thereof
US9660037B1 (en) 2015-12-15 2017-05-23 Infineon Technologies Austria Ag Semiconductor wafer and method
DE112015007213B4 (de) 2015-12-22 2021-08-19 Intel Corporation Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package
US9773757B2 (en) * 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
CN105575913B (zh) * 2016-02-23 2019-02-01 华天科技(昆山)电子有限公司 埋入硅基板扇出型3d封装结构
WO2017183452A1 (ja) 2016-04-22 2017-10-26 日揮触媒化成株式会社 シリカ系複合微粒子分散液及びその製造方法
US9875970B2 (en) 2016-04-25 2018-01-23 Samsung Electro-Mechanics Co., Ltd. Fan-out semiconductor package
US10553515B2 (en) 2016-04-28 2020-02-04 Intel Corporation Integrated circuit structures with extended conductive pathways
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10615191B2 (en) 2016-05-20 2020-04-07 Ares Materials Inc. Polymer substrate for flexible electronics microfabrication and methods of use
US10032722B2 (en) * 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure having am antenna pattern and manufacturing method thereof
JP2018004401A (ja) 2016-06-30 2018-01-11 株式会社トプコン レーザスキャナ及びレーザスキャナシステム及び点群データのレジストレーション方法
US10043740B2 (en) 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US11156788B2 (en) 2016-07-14 2021-10-26 Intel Corporation Semiconductor package with embedded optical die
US9748167B1 (en) 2016-07-25 2017-08-29 United Microelectronics Corp. Silicon interposer, semiconductor package using the same, and fabrication method thereof
KR20180014362A (ko) * 2016-07-29 2018-02-08 삼성전자주식회사 회로 기판 및 반도체 패키지
US10269771B2 (en) 2016-08-31 2019-04-23 Advanced Semiconductor Engineering, Inc. Semiconductor device package and a method of manufacturing the same
KR102566996B1 (ko) 2016-09-09 2023-08-14 삼성전자주식회사 FOWLP 형태의 반도체 패키지 및 이를 가지는 PoP 형태의 반도체 패키지
US9887167B1 (en) 2016-09-19 2018-02-06 Advanced Semiconductor Engineering, Inc. Embedded component package structure and method of manufacturing the same
KR102012443B1 (ko) 2016-09-21 2019-08-20 삼성전자주식회사 팬-아웃 반도체 패키지
JP2018073890A (ja) 2016-10-25 2018-05-10 イビデン株式会社 プリント配線板およびプリント配線板の製造方法
JP6816486B2 (ja) * 2016-12-07 2021-01-20 凸版印刷株式会社 コア基板、多層配線基板、半導体パッケージ、半導体モジュール、銅張基板、及びコア基板の製造方法
CN106531647B (zh) 2016-12-29 2019-08-09 华进半导体封装先导技术研发中心有限公司 一种扇出型芯片的封装结构及其封装方法
KR102596788B1 (ko) 2016-12-30 2023-10-31 인텔 코포레이션 팬 아웃 스케일링을 위한 필러 및 비아 접속부를 구비한 고밀도 상호접속 층을 가진 패키지 기판
KR102561987B1 (ko) 2017-01-11 2023-07-31 삼성전기주식회사 반도체 패키지와 그 제조 방법
US10541464B2 (en) 2017-01-17 2020-01-21 Sony Corporation Microwave antenna coupling apparatus, microwave antenna apparatus and microwave antenna package
KR102019353B1 (ko) 2017-04-07 2019-09-09 삼성전자주식회사 팬-아웃 센서 패키지 및 이를 포함하는 광학방식 지문센서 모듈
JP6827663B2 (ja) 2017-04-24 2021-02-10 株式会社荏原製作所 基板の研磨装置
JP6909430B2 (ja) * 2017-05-12 2021-07-28 大日本印刷株式会社 貫通電極基板、貫通電極基板を備える実装基板並びに貫通電極基板の製造方法
TWI645519B (zh) 2017-06-02 2018-12-21 旭德科技股份有限公司 元件內埋式封裝載板及其製作方法
US10304765B2 (en) 2017-06-08 2019-05-28 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10211072B2 (en) 2017-06-23 2019-02-19 Applied Materials, Inc. Method of reconstituted substrate formation for advanced packaging applications
JP6885800B2 (ja) 2017-06-26 2021-06-16 京セラ株式会社 配線基板およびその製造方法
TW201909245A (zh) 2017-07-24 2019-03-01 美商康寧公司 精密結構玻璃物件、積體電路封裝、光學元件、微流體元件及其製造方法
US10410971B2 (en) 2017-08-29 2019-09-10 Qualcomm Incorporated Thermal and electromagnetic interference shielding for die embedded in package substrate
US10515912B2 (en) 2017-09-24 2019-12-24 Intel Corporation Integrated circuit packages
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
WO2019066988A1 (en) 2017-09-30 2019-04-04 Intel Corporation INTEGRATED PCB / HOUSING STACK FOR DOUBLE-SIDED INTERCONNECTION
KR101892869B1 (ko) 2017-10-20 2018-08-28 삼성전기주식회사 팬-아웃 반도체 패키지
KR101922884B1 (ko) 2017-10-26 2018-11-28 삼성전기 주식회사 팬-아웃 반도체 패키지
US10515827B2 (en) * 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
KR101963292B1 (ko) 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10134687B1 (en) 2017-12-14 2018-11-20 Amkor Technology, Inc. Semiconductor device and method of manufacturing a semiconductor device
US10468339B2 (en) * 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
US10388631B1 (en) 2018-01-29 2019-08-20 Globalfoundries Inc. 3D IC package with RDL interposer and related method
TWI791769B (zh) 2018-02-27 2023-02-11 日商迪愛生股份有限公司 電子零件封裝及其製造方法
WO2019177742A1 (en) 2018-03-15 2019-09-19 Applied Materials, Inc. Planarization for semiconductor device package fabrication processes
US10948818B2 (en) 2018-03-19 2021-03-16 Applied Materials, Inc. Methods and apparatus for creating a large area imprint without a seam
US11178772B2 (en) * 2018-03-29 2021-11-16 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier connected with a separate tilted component carrier for short electric connection
US11355459B2 (en) 2018-05-17 2022-06-07 Intel Corpoation Embedding magnetic material, in a cored or coreless semiconductor package
US11063007B2 (en) 2018-05-21 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10955606B2 (en) 2018-05-30 2021-03-23 Applied Materials, Inc. Method of imprinting tilt angle light gratings
US10424530B1 (en) 2018-06-21 2019-09-24 Intel Corporation Electrical interconnections with improved compliance due to stress relaxation and method of making
KR102582422B1 (ko) * 2018-06-29 2023-09-25 삼성전자주식회사 재배선층을 갖는 반도체 패키지
US10705268B2 (en) 2018-06-29 2020-07-07 Applied Materials, Inc. Gap fill of imprinted structure with spin coated high refractive index material for optical components
IT201900006736A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
US11764150B2 (en) 2019-07-03 2023-09-19 Intel Corporation Inductors for package substrates

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0264134A2 (en) * 1986-10-16 1988-04-20 International Business Machines Corporation Zirconium as an adhesion material in a multi-layer wiring substrate
US5474834A (en) * 1992-03-09 1995-12-12 Kyocera Corporation Superconducting circuit sub-assembly having an oxygen shielding barrier layer
EP1536673A1 (en) * 2002-05-30 2005-06-01 Taiyo Yuden Co., Ltd. Composite multi-layer substrate and module using the substrate
US20090250823A1 (en) * 2008-04-04 2009-10-08 Racz Livia M Electronic Modules and Methods for Forming the Same
US20110062594A1 (en) * 2008-10-16 2011-03-17 Dai Nippon Printing, Co., Ltd. Through hole electrode substrate, method for manufacturing the through hole electrode substrate, and semiconductor device using the through hole electrode substrate
EP2942808A1 (en) * 2013-01-07 2015-11-11 A.L.M.T. Corp. Ceramic wiring substrate, semiconductor device, and method for manufacturing ceramic wiring substrate
US20160020163A1 (en) * 2014-07-16 2016-01-21 Shinko Electric Industries Co., Ltd. Wiring Substrate and Semiconductor Device
US20160336296A1 (en) * 2015-05-15 2016-11-17 Samsung Electro-Mechanics Co., Ltd. Electronic component package and package-on-package structure including the same

Also Published As

Publication number Publication date
WO2020231871A1 (en) 2020-11-19
US11887934B2 (en) 2024-01-30
TW202326969A (zh) 2023-07-01
JP7350890B2 (ja) 2023-09-26
US20220359409A1 (en) 2022-11-10
US11264331B2 (en) 2022-03-01
US11398433B2 (en) 2022-07-26
KR20210153760A (ko) 2021-12-17
US11715700B2 (en) 2023-08-01
CN113496984A (zh) 2021-10-12
US20200358163A1 (en) 2020-11-12
KR20210153157A (ko) 2021-12-16
US20200357749A1 (en) 2020-11-12
TW202103274A (zh) 2021-01-16
US11521935B2 (en) 2022-12-06
US20240021533A1 (en) 2024-01-18
WO2020231545A8 (en) 2021-03-18
KR20210124920A (ko) 2021-10-15
US20200395306A1 (en) 2020-12-17
JP2022533048A (ja) 2022-07-21
US11417605B2 (en) 2022-08-16
TW202107636A (zh) 2021-02-16
US20210257307A1 (en) 2021-08-19
US11264333B2 (en) 2022-03-01
US20200395305A1 (en) 2020-12-17
JP2022533574A (ja) 2022-07-25
US11476202B2 (en) 2022-10-18
US10886232B2 (en) 2021-01-05
US20200357750A1 (en) 2020-11-12
US20230187370A1 (en) 2023-06-15
CN113811994A (zh) 2021-12-17
TWI797446B (zh) 2023-04-01
US20210257306A1 (en) 2021-08-19
WO2020231545A1 (en) 2020-11-19
KR102651523B1 (ko) 2024-03-25
KR102610674B1 (ko) 2023-12-05
JP2023179475A (ja) 2023-12-19
JP2024023298A (ja) 2024-02-21
TW202209620A (zh) 2022-03-01
JP7386902B2 (ja) 2023-11-27
US20200395304A1 (en) 2020-12-17
CN113795910A (zh) 2021-12-14
KR20230169442A (ko) 2023-12-15

Similar Documents

Publication Publication Date Title
IT201900006736A1 (it) Procedimenti di fabbricazione di package
US11362235B2 (en) Substrate structuring methods
KR102625123B1 (ko) 반도체 디바이스 어셈블리
JP2001015683A (ja) 極薄基板の転写方法及び該方法を用いた多層薄膜デバイスの製造方法
TW201806090A (zh) 封裝結構
US20230129405A1 (en) Semiconductor device packaging methods
TWI834012B (zh) 封裝核心組件及製造方法
US20230378047A1 (en) Semiconductor device packages with enhanced thermo-mechanical reliability
TW202406050A (zh) 具有增強的熱機械可靠性的半導體元件封裝
TW202410215A (zh) 半導體元件封裝