JP5904556B2 - 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法 - Google Patents

無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法 Download PDF

Info

Publication number
JP5904556B2
JP5904556B2 JP2012556246A JP2012556246A JP5904556B2 JP 5904556 B2 JP5904556 B2 JP 5904556B2 JP 2012556246 A JP2012556246 A JP 2012556246A JP 2012556246 A JP2012556246 A JP 2012556246A JP 5904556 B2 JP5904556 B2 JP 5904556B2
Authority
JP
Japan
Prior art keywords
layer
polymer
interposer
package
microelectronic package
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012556246A
Other languages
English (en)
Other versions
JP2013521663A (ja
Inventor
サンダラム、ベンカテッシュ
リウ、ファン
タムマラ、リャオ、アール.
スクマラン、ビジャ
スリドハラン、ヴィベック
チェン、キアオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Georgia Tech Research Corp
Original Assignee
Georgia Tech Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Georgia Tech Research Corp filed Critical Georgia Tech Research Corp
Publication of JP2013521663A publication Critical patent/JP2013521663A/ja
Application granted granted Critical
Publication of JP5904556B2 publication Critical patent/JP5904556B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Description

本システムは一般的に、インターポーザに関する。
半導体パッケージ回路に用いる基板はマイクロ電子パッケージを提供する。マイクロ電子パッケージは、機械的な基部支持およびパッケージ内に収容される装置に外部から通信アクセスするための電気的インタフェースを備える。インターポーザは、パッケージ間または集積回路(「IC」)間の相互接続ルーティングのためにグラウンド/電源層として、よく用いられる中間層である。場合により、「基板」および「インターポーザ」という用語が同じものを示すために用いられることもある。3次元インターポーザ、または「3Dインターポーザ」は複数のICと回路基板、またはICが設置されている基板との間の相互接続である。ICを含む適用で用いられるときには、インターポーザは、ファインピッチシリコン貫通ビア(「TSV」)およびパッケージ貫通ビア(「TPV」)によって、3次元IC間に非常に広域な帯域幅を提供する。TSVはシリコンウェハまたはダイを完全に貫通する垂直な電気接続であるが、一方、TPV、または一般的には貫通ビアは、1または複数のパッケージ間を通る、または1または複数のパッケージを完全に貫通する垂直な電気接続である。
TPVは3次元パッケージおよび3次元ICを製造する際に重要な部品である。3次元パッケージ(たとえばパッケージのシステム、チップスタック構造のマルチチップモジュール)を製造するときに、TPVは端部配線に代わる手段を設計者に提供する。TPVを用いると、3次元パッケージまたは3次元ICの設計者はICまたはパッケージの寸法を縮小し、たとえば小型化することができる。これが実現するのは、端部配線の必要性が減少したか、または排除されたことと、およびロジック回路とメモリ回路両種類の能動回路の両側に搭載できる能力のためである。TPVを用いると、基板上の受動回路の寸法も小さくできる。これらの利点によってウェハレベルの展開技術の代替として、ウェハレベルのパッケージングをより高入出力まで延在する手段も提供される。
インターポーザの要件の一部は、1)超ファインピッチでの良好な寸法安定性、2)基板およびダイに適合する良好な熱膨張係数(「CTE」)、3)ICから基板への良好な熱経路、および4)高品質係数を有する埋め込み受動部品を集積化できることである。
要約すると、本発明はパッケージ貫通ビアの応力除去バリア、またはバッファ層を利用する。パッケージ貫通ビアの応力除去バリア、またはバッファ層は、熱膨張および収縮応力の除去バリアを改善した金属化性能と共に提供する、応力除去バリアによって、異なる熱膨張係数によって生じる応力の影響を低減でき、ある適用では、応力除去バリアは金属化層とインターポーザ間の接着も促進する。これにより、小型の設計も提供しながら、信頼性の増強にも役立つ。
本発明の代表的な実施形態では、応力バッファ層をガラスインターポーザ素材に堆積する。応力バッファ層は、後で追加する金属化層の接着促進剤としても作用するように設計される。応力バッファ層の素材は様々であるが、好ましくは、相対的に高い構造安定性を有し、低損失特性を示し、相対的に低い比誘電率を、たとえば低いk値を有する。ある事例では、1または複数の上記特性を有する応力バッファ層は熱応力の影響を低減するのに役立つだけではなく、応力バッファ層によって、高品質係数無線周波数(RF)の統合も可能となる。これは、高入出力の適用においてますます必要となっている特徴である。ある実施形態では、応力バッファ層は、真空加熱装置を用いて適用されるポリマである。別の例では、ポリマは銅被覆ポリマである。
本実施形態では、応力バッファ層を堆積して、貫通ビアを形成する。ビアは機械的除去、レーザアブレーション、または化学的除去を含む様々な方法を用いて形成することができるが、これらに限定されない。ビアを形成後、金属化シード層を適用し、ある実施形態では銅で金属化して、ビア側壁と応力バッファ層の接着を促進するのを助ける。金属化適用後、金属化の一部を選択的に除去してTPVを製造する。
別の代表的な実施形態では、本発明は、1または複数のビアをガラスインターポーザに形成することを含む。その後、ビアをポリマ応力バッファ層で充填する。次に、応力バッファ層を貫通して穴を形成する。シード層を形成し、その後金属化を適用する。金属化を選択的に除去してTPVを形成する。本実施携帯では、応力バッファ層は、TPVの支持構造として作用する。
さらなる代表的な実施形態では、本発明は、貫通ビアをガラスインターポーザ素材に形成することを含む。シード層とバッファ層の組み合わせを、ビア表面およびビア壁に形成する。ある実施形態では、応力/バッファ層はパラジウムなどの金属である。次にビアを金属化で充填し、その後選択的に除去してTPVを形成する。
さらにさらなる代表的な実施形態では、本発明は、インターポーザをポリマ積層で積層することを含む。次にビアを形成し、バッファ層をポリマ積層およびビア側壁に適用する。シード層/ビア充填金属化層の組み合わせを適用し、その後選択的に除去してTPVを形成する。
上記では、本発明の有利面を要約したが、特許請求された本発明の全範囲を反映させることを意図するものではない。本発明の追加の特徴および有利点は、以下の説明に記載され、説明から明確であり、または本発明の実施から理解される。さらに、上記の要約および下記の詳細な説明は代表的および説明的なものであり、請求項に記載の本発明をさらに説明することを目的とする。
添付図は本明細書に組み込まれ、本明細書の一部を構成する。添付図は本発明の複数の代表的な実施形態を説明と共に例示し、本発明の原則を説明するためのものである。添付図はいかなる方法においても、本発明の範囲を制限するように意図されるものではない。本明細書に記載する見出しは便宜上用いるのみであり、請求項に記載の発明の範囲または意味に必ずしも影響するものではない。
本発明の代表的な実施形態による、ガラスをインターポーザとして用いるパッケージ貫通ビアを例示する。 本発明の代表的な実施形態による、ガラスをインターポーザとして用いる応力除去バリアを例示する。 本発明の代表的な実施形態による、電気モデリングを測定するためのパッケージ貫通ビアのシミュレーションモデルを例示する。 本発明の代表的な実施形態による、電気モデリングシミュレーションの試験結果を例示する。 本発明の代表的な実施形態による、電気モデリングシミュレーションの試験結果を例示する。 本発明の代表的な実施形態による、電気モデリングシミュレーションの試験結果を例示する。 本発明の代表的な実施形態による、ガラスインターポーザに応力除去バリアを積層するための積層システムを例示する。 本発明の代表的な実施形態による、ガラスインターポーザ上に積層された応力除去バリア積層の側面光学像である。 本発明の代表的な実施形態による、ガラスインターポーザ上に積層された応力除去バリア積層の側面光学像である。 本発明の代表的な実施形態による、COレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、COレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、COレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、COレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、COTレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、COTレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、UVレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、UVレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、UVレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、UVレーザアブレーションによって形成されたパッケージ貫通ビア入口および出口の画像である。 本発明の代表的な実施形態による、エキシマレーザアブレーションによって形成されたパッケージ貫通ビア入口の画像である。 本発明の代表的な実施形態による、様々なガラスインターポーザの厚さを試験するための試験用配置の例示である。 本発明の代表的な実施形態による、機械で製造したパッケージ貫通ビアの画像である。 本発明の代表的な実施形態による、機械で製造したパッケージ貫通ビアの画像である。 本発明の代表的な実施形態による、銅で充填したパッケージ貫通ビアの例示である。 本発明の代表的な実施形態による、金属化パッケージ貫通ビアの断面光学像である。 本発明の代表的な実施形態による、金属化パッケージ貫通ビアの断面光学像である。 本発明の代表的な実施形態による、ビアの金属化を示すパッケージ貫通ビアの上面図である。 本発明の代表的な実施形態による、ビアの金属化を示すパッケージ貫通ビアの側面図である。 本発明の代表的な実施形態による、パッケージ貫通ビアを製造するための方法を例示するフローチャートである。 本発明の代表的な実施形態による、閉鎖端部を有するパッケージ貫通ビアを例示する。 本発明の代表的な実施形態による、連結装置を有するパッケージ貫通ビアを例示する。 本発明の代表的な実施形態による、パッケージ貫通ビアを有する4つの金属層構造の側面図である。 本発明の代表的な実施形態による、パッケージ貫通ビアを製造するための代替方法を例示するフローチャートである。
様々な実施形態の主題を、法が定める要件を満たす特異性を示して説明する。ただし、説明自体は、特許請求された発明の範囲を限定することを目的としない。むしろ、現在または未来の技術と組み合わせて、本明細書に記載する段階や要素と類似する別の段階や要素を含むように、特許請求された発明が他の方法で実現できることが考慮されてきた。本明細書で用いる「段階」という用語は、使用する方法の様々な態様を示すために用いることができる、この用語が本明細書で開示する様々な段階間のいかなる具体的な順序をも暗示すると解釈されてはならない。ただし、個々の段階の順序が明確に要求されている場合は除く。以下の説明は例示的なものであり、任意の一態様に限定するものではない。
本明細書および特許請求の範囲で用いる、単数形の「1つの(a)」「1つの(an)」および「その(the)」は、内容から判断して明確に別記されている場合を除き、複数への言及も含むことにも留意されたい。たとえば、1つの部品に対する言及は複数の部品からなる構成も含むことを意図している。「1つの」構成成分を含む構成への言及は、名前を挙げた構成成分だけではなく他の構成成分も含むことを意図する。また、好ましい実施形態の説明において、明確性を求めて用語を用いる。各用語は、当業者によって理解される最大の意味を意図すること、および同様の目的を実現するために同様の方法で動作する技術的対等物をすべて含むことが意図されている。
本明細書で示す範囲は、「約」または「およそ」1つの具体的な値から、および/または「約」または「およそ」別の具体的な値までを示す。このような範囲を示すときは、他の代表的な実施形態は、1つの具体的な値からおよび/または別の具体的な値までを含む。「備える」または「含有する」または「含む」という用語は、少なくとも記載する部品、要素、粒子、または方法の段階がシステムまたは品物または方法に存在することを意味するが、他の部品、素材、粒子、および方法の段階が指定されたものと同一の機能を有する場合であっても、このような他の部品、素材、粒子、または方法の段階の存在を除外しない。
また、1または複数の方法の段階に関する言及は、追加の方法の段階または明示して特定する上記段階の間に介在する方法の段階の存在を除外しないことも理解されたい。同様に、システムまたは構成における1または複数の部品に関する言及は、これらの明示して特定するもの以外の追加部品の存在を除外しないことも理解されたい。本発明の原則および特徴を理解しやすくするために、例示的実施形態の実施を参照して、実施形態を以下に説明する。
インターポーザ技術はセラミックから有機素材に発展し、ごく最近ではシリコンにまで発展してきた。有機基板は寸法安定性が相対的に悪いため、一般的に大きなキャプチャパッドを必要とする。しかし、有機基板を用いる現在の方法には2つの主要な欠点がある。有機コアの寸法安定性が悪いため、高入出力をファインピッチで実現することは困難であることが多い。また、層数が増えることで反りが生じる。多くの事例では、上記の問題によって、有機基板、またはインターポーザは、ファインピッチ相互接続を備える非常に高い入出力に対して特に不適切となる。このため、有機インターポーザの代わりにシリコンインターポーザを開発し、使用する傾向がある。しかし、シリコンインターポーザも問題を呈する。シリコンインターポーザは、ビア壁の周りに電気絶縁体を設ける必要があるため、製造コストが相対的に高くなる。また、シリコンインターポーザは素材となるシリコンウェハによって、寸法が制限される。
シリコンの代替として、本発明はガラスをインターポーザとして使用し、シリコンおよび有機インターポーザ両方の限界に対処する。ある実施形態では、ガラス固有の電気特性は、広い面積のパネル寸法を利用できることと合わせ、シリコンおよび有機インターポーザ素材に対して有利点を有する。しかし、ガラスを用いることにはいくつかの課題がある。すなわち、低コストでビアを形成することと、シリコンに比べてガラスの熱伝導率が低いことである。
ガラスは、従来のインターポーザが提示する問題を解決するために、ますます用いられるようになっている。基板、たとえばインターポーザとしてのガラスは複数の利点を有する。ガラスは相対的に良好な寸法安定性および熱安定性を有する。ガラスの熱膨張係数はシリコンにほぼ匹敵し、相対的に良好な電気特性を示し、および大型のパネル寸法においても相対的に利用可能である。たとえば、高精細ディスプレイに用いられる大型パネルの液晶ディスプレイ(LCD)ガラス基板を処理する機械を、ガラスインターポーザを処理するために容易に組み込むことができ、低コストおよび高スループットを実現する。
表1では、主要な電気特性、工程の複雑さおよびガラス、シリコンおよびその他使用する可能性のある金属およびセラミックインターポーザの相対コストを比較する。
Figure 0005904556
ガラスはシリコンよりも優れた電気的品質を示すが、ICまたはパッケージの寸法が縮小することによって、電気接続のためにより小さく、間隔が狭いTPVが必要となる。ICまたはパッケージの寸法が縮小することによって、TPV、インターポーザおよび金属層を形成する異なる素材の熱膨張および収縮に関連する問題が生じる。異なる素材が膨張および収縮すると、素材は異なる熱膨張係数によって、異なる率で膨張および収縮する。小型ICまたはパッケージでは、従来のTPVにおいて異なる熱膨張係数によって誘発された応力が、インターポーザおよびTPVの信頼性を損なっていた。この理由には限定されないが、従来のTPVは熱膨張および収縮によってインターポーザから分離するため、従来のTPVの大多数は故障する。さらに、異なる熱膨張および収縮率によって、微細な亀裂も生じることがあり、継続して起こる膨張および収縮によって、微細な亀裂は拡大し、広がる。
本発明の目的は、異なる熱膨張係数がもたらす物理的影響、つまり応力を低減するのを助けることによって、TPVを有するガラスインターポーザの信頼性を改善することである。本発明の一実施形態では、応力除去バリア、または応力除去層を用いてバッファとして作用させ、金属導体(つまり、金属化、一般的には銅)とガラスインターポーザとの間で熱膨張係数が異なることによって生じる応力を吸収する。応力除去バリアは、金属導体とガラスインターポーザ、および金属化シード層などの任意の追加層との間の物理的接続を維持する助けとなる、弾力的なインタフェースである。弾力的な特性によって、金属層が物理的にインターポーザから離れることによって発生する、断線または短絡の可能性を低減することができる。さらに、応力除去バリアによって、製造時の欠陥、処理段階または熱サイクル中に起きた欠陥のいずれかによって形成された、ガラスインターポーザの亀裂が広がることを低減し、または排除することができる。ある実施形態では、応力除去バリアを用いて、ガラスインターポーザの厚さを薄くし、たとえば「薄板ガラス」インターポーザを提供することができる。さらに、応力除去バリアをビア生成の前に適用することによって、ビアを囲むガラスの上部層の除去を防ぐことによって、ビアのピッチを増加し、たとえばファインピッチまたは小ピッチとすることができる。
図1は、本発明の代表的な実施形態による、ガラスインターポーザに貫通ビアを有するパッケージの設計を例示する。ボールグリッドアレイ100はプリント基板102と電気的に接続している。パッケージ貫通ビアは、一般的にパッケージ貫通ビア104で表され、プリント基板102と高入出力カウント集積回路106とを連通する。パッケージ貫通ビア104はガラスインターポーザ108によって支持される。応力除去バリア112は銅金属化110とガラスインターポーザ108の間に堆積する。それにより、貫通ビア104に堆積した銅110とガラスインターポーザ108の熱膨張係数が異なることによって生じる、短絡または断線などの電気故障の原因となりえる物理的損傷を低減し、または排除する。前述したように、応力除去バリア112は弾力的なインタフェースであり、異なる熱膨張係数によって生じる応力の一部を吸収し、銅110とインターポーザ108との物理的接触を維持する助けとなる。
応力除去バリア112はある程度の弾力的および絶縁特性を有するように設計されているため、ある実施形態では、これらの特性を有するポリマを用いることもある。ポリマの適切な実施形態には、ZIF、RXP4、デュポンのカプトン(Dupont Kapton登録商標)ポリイミドフィルム、デュポンのパイララックス(Dupont Pyralux登録商標)AC、およびデュポンのパイララックス(Dupont Pyralux登録商標)APが含まれるが、これらに限定されない。当業者には、本発明がこれらのポリマには限定されず、同様の物理的および電気的品質を有する他の適切なポリマも含まれることが理解されよう。本発明の代表的な実施形態では、ポリマは乾燥膜、液体塗料または気相堆積薄膜として堆積する。本発明の代表的な実施形態では、応力除去バリアは、ガラスの熱膨張係数と金属化の熱膨張係数との間の熱膨張係数を有する。さらに、当業者には、同様の物理特性および電気特性を有する他の非ポリマ素材を用いることができるため、本発明はポリマには限定されないことが理解されよう。本発明のある実施形態では、金属化層および応力除去バリアは同素材である。代表的な一実施形態では、金属化層および応力除去バリアは、銅、パラジウム、ニッケル、ニッケル合金、および銅合金などの様々な金属また複合素材であってよいが、これらに限定されない。
図2は応力除去バリアの拡大図を例示する。プリント基板200上にボールグリッドアレイ202がある。ボールグリッドアレイ202は、プリント基板200の接続線204と集積回路208の接続線206との間に電気的接続経路を提供する。ボールグリッドアレイ202は集積回路208にビア210を通じて電気的に接続する。金属層212は一般的には銅であり、電気的接続経路をボールグリッドアレイ202から集積回路208まで提供する。
前述のように、金属層212は、集積回路208の使用中に、一般的には膨張および収縮する。膨張は電流が伝導体を流れるときに発生する熱によって生じる。家庭での配線などの大規模な適用では、この熱は一般的には空気に放散される。微小規模のパッケージ設計などの小規模な適用では、パッケージ内の部品が暖まるのを防ぐほど迅速には、熱は放散されない。この加熱効果が、金属層212およびインターポーザ218を含むパッケージ内の部品が膨張する原因となる。金属層212を流れる電流量が減少すると、素材は冷却され収縮する。本例ではガラスであるインターポーザ218は、金属層212とは異なる率で膨張および収縮し、一般的には、インターポーザ218より早く膨張する。この膨張および収縮の物理的影響によって、説明できないにしても、金属層212が部分的または全体的にガラスインターポーザ218、ボールグリッドアレイ202、または集積回路208のいずれかから、またはそれらすべてから除去されることもある。
熱サイクルが原因となる応力の影響を低減するために、応力除去バリア214は金属層212およびガラスインターポーザ218の1または複数の部分の間に堆積する。応力除去バリア214は、ある実施形態では弾力的または半弾力的なポリマであり、金属層212およびガラスインターポーザ218が膨張および収縮するにつれて、金属層212とインターポーザ218との間に生じる応力を吸収し、金属層212とビア210との間の物理的接続を維持する。応力除去バリア214はビア210のリフティングまたは故障を防ぐ助けとなり、それにより、プリント基板200から集積回路208までの電気接続性を維持する。さらに、選択するポリマの種類によって、応力除去バリア214は、インターポーザ218と金属層212との間の接着を維持する一種の「接着剤」として作用することによって、金属層212のインターポーザ218への接着を促進することもできる。
電気特性試験
前述のように、ガラスインターポーザはシリコンに対して複数の優位点を有する。TSV(シリコン貫通ビア)およびTPV(ガラス貫通ビア)の電気挙動をシミュレーションによって研究した。TSVおよびTPVに対してシミュレーションした挿入損失描画から、ガラスインターポーザのTPVはシリコンインターポーザのTSVと比較すると、ごくわずかな電気信号損失しか有しないことが観測された。シリコンの伝導率はガラスよりも多少高いため、TPVに比べてTSVにおいてはるかに高い基板損失が生じる。ガラス上に作成したTPVの電気モデリングの結果を以下に示す。
実験結果
4種類のTPVを研究、比較し、以下の表2に要約した。
Figure 0005904556
ホウケイ酸ガラス(BSG)を基板としてモデリングした。エキシマレーザで形成したTPVを、25μm厚のガラス基板の上面および底面の誘電ライナを用いてモデリングした。TPVを3次元全波電磁界(EM)シミュレーションによってモデリングし、電気特性をシミュレーションした。CST Microwave StudioTM(CST−MWS)を3次元全波EMシミュレータとして用いて、ビアのシステム反応を10GHzまで研究した。図3は、4つのポートを備えるビアモデルをCST−MWSでシミュレーションした図を示す。信号ビア1および2は、各側に2つずつ配置されるグラウンドビアによって囲まれる。4つのグラウンドビアは電気的に接続している。信号ビア1および2は上面または底面上の個別の(集中)ポートによって励起される。図4、5および6はこれらのTPV間での、挿入損失、近端クロストーク、および遠端クロストークの比較をそれぞれ示す。
図4から、エキシマレーザによって形成されたTPVは最小の信号損失を有する一方、紫外線レーザによって形成されたTPVは最大の損失を示すことが観測される。この挙動はエキシマレーザによるTPVの寸法とピッチが小さいことが原因である。UVレーザによって形成されたTPVは、二酸化炭素レーザで穿孔されたTPVよりも大きなピッチを有するため、COレーザで穿孔されたTPVよりも高い損失を有する。エキシマレーザによるビアの製造方法によって、小型かつ小ピッチのビアが製造できることに加えて、ある実施形態では、製造時間およびコストを削減することができる。COレーザおよびUVレーザでは、ガラスは、ガラスに対するレーザの加熱効果によって除去される。これらのレーザが特定の区域に十分なパワーを与えるためには、レーザ光の焦点を除去する区域に合わせなければならない。これとは異なる方法で、エキシマレーザからのエネルギは素材を除去するために熱に依存しない。むしろ、エキシマレーザはガラス素材を破壊する。このため、エキシマレーザははるかに広い区域に焦点を合わせることができ、複数のビアを一度に形成することができる。さらに、銅またはポリマ層がガラスインターポーザの上部に堆積している場合は、除去すべき区域をエキシマレーザにさらすと、ビアは垂直に近い非常に高ピッチな壁を形成することができる。これにより、1つの区域に高密度なビアを形成することができると共に、貫通ビアの作成に関連するコストおよび時間も低減することができる。
図5および6から、クロストークは少なくとも部分的に、信号ビア間の間隔に依存することが観察できる。機械穿孔したTPVでは、ビアとビアとの間隔が最大になるため、最小のクロストークを有する。同様に、レーザによって形成したTPVは狭い間隔を有するため、高いクロストークを有する。図6に示すように、本発明の様々な実施形態によって製造されるファインピッチまたは高ピッチTPVは、より低い信号損失を有することになる。クロストークを低減するために、信号TPV間の間隔をできるだけ広く取ることが好ましい。クロストークの低減は、他の設計技術を用いて実現することもできる。たとえば、グラウンドTPVによって、信号TPVをお互いに離間することもできる。
ガラスインターポーザの製造
ポリマ素材の役割は、ガラスインターポーザ表面の金属層と、ビアのコアの金属との間の応力除去バリアとしても作用することである。さらに、応力除去バリアはまた、アブレーション処理中に、ガラス表面に対するレーザの物理的影響を低減するのにも役立つ。従来のシステムでは、レーザまたは、酸などの他の素材除去手段を用いて貫通ビアを作成するときには、基板の上部は基板の下部よりも長時間にわたって、除去手段によって作用を受ける。この意図しない長時間の反応時間の結果として、基板の上部層の一部が継続して除去される。それにより、低ピッチビア、つまり、基板面に対して垂直未満の角度となる側壁を有するビアが生じる。低ピッチビアは、ビアを埋めるために多量の金属化を必要とし、したがってコストが高くなるだけではなく、低ピッチビアの寸法によって、基板の区域に配置できる貫通ビアの数が減少する。
小ピッチまたはファインピッチを有する貫通ビアを製造することが望ましいことが多い。前述のように、小ピッチまたはファインピッチとは、ビアの壁が基板の表面に対して直角またはほとんど直角、たとえば垂直またはほとんど垂直であることを意味する。粗いピッチを有する貫通ビアは、貫通ビアの底部から対角線方向に延在し、「V」字形を形成する壁を有することもある。ファインピッチ垂直フィードをガラスを貫通して形成することは、3次元インターポーザ構築中には課題となる。ガラスエッチングは、一般的にシリコンエッチングよりも難しい。ウェットエッチングは高いエッチング率(約10μ/分)を産出するが、エッチプロファイルの等方性は、厚い基板の貫通ビアには好ましくない。
高ピッチのガラス貫通ビアを実現するために、応力除去バリアをシールドまたは保護バリアとして用いて、素材の除去手段が、貫通ビア周囲の基板上部を不用意に除去しないようにすることもできる。図7は、貫通ビアを形成するために基板素材を除去する前に、ポリマ層をガラス基板に堆積するための代表的なシステムを例示する。ホウケイ酸ガラス(「BSG」)は、インターポーザとして用いることができるガラスの一種である。本発明はインターポーザ素材としてBSGに限定されるものではないことを理解されたい。ガラス702の表面をまず、アセトンおよびイソプロピルアルコールを用いて処理することができる。この処理によって、積層のために清浄な表面を提供できる。
加熱プレス機704をポリマ706の両面積層を実行するために用いることができる。積層処理を1つの表面、たとえばガラス702の上面もしくは底面に行うこともでき、または、底面および上面の両方に行うこともできることに留意されたい。次に、積層ガラス702にレーザアブレーションを行う。レーザアブレーション処理中に、ポリマ706は応力除去バリアおよび保護シールドとして作用する。図8および9は、図7の処理と同様の処理および素材を用いるときの、ガラス上のポリマの光学断面像を示す。
レーザアブレーション試験
175μmおよび500μm厚のBSG試料をCOレーザアブレーションで処理した。COレーザの最初の結果によって、ビア端部に沿って微細な亀裂を有し、大きなビア直径(125μm直径)および先細りが強いビアプロファイルが示された。COレーザアブレーションによるビアの光学像および走査型電子顕微鏡(SEM)像を10(a)、(b)、(c)、(d)に示す。ビアの入口直径は、一般的に125μmであり、出口直径は一般的に50μmであり、TPVピッチは175μmであった。微細な亀裂はCOTレーザを用いることによって最小限となり、ピッチはわずかに大きくなり、欠損は減少した。図11aおよび11bはCOTレーザでアブレーションしたBSGガラス試料におけるレーザの入口および出口の光学像である。
別の試験では、UVレーザを用いてTPVを形成した。図12aおよび12bは266nmのUVレーザアブレーションによって形成したビア入口(図12a)および出口(図12b)の光学像である。図12cおよび12dは266nmのUVレーザアブレーションによって形成したビア入口(図12c)および出口(図12d)のSEM像である。得られた結果は、COレーザの結果と匹敵した。TPVピッチは入口で250μmであり、出口直径はそれぞれ100μmおよび50μmであった。エキシマレーザを175μm薄板ガラスに用いて、さらなるファインピッチTPVを実現した。これにより、50μmのピッチで、それぞれ入口直径が35μmおよび出口直径が22μmである、より小さい寸法のビアを得た。レーザアブレーション後のガラス表面には微細な亀裂は観測されなかった。断面研究により、スムーズなビア側壁プロファイルが示された。
エキシマレーザもポリマ積層ガラス試料で試験を行った。ビアプロファイルは同様に見えたが、レーザによる影響が大きいため、ビア入口周辺のポリマへの過剰なアブレーションによって、ビア直径は多少大きかった。図13はポリマ積層ガラス上のファインピッチTPVの光学像である。制御処理パラメータによって、ほとんど同様のポリマおよびガラスアブレーションが得られた。
別の試験では、200μmおよび500μmのBSG基板を原料として用いて、機械処理によってビアを形成した。ガラス試料は17.42cm(2.7in)であり、ビア直径は100μmから250μmまで50μm刻みで増える様々なビア直径を有する設計であった。試験配置の概略を図14に示す。TPVピッチは350μmで一定に保持した。機械技法を用いるガラスTPVは大きなピッチを有する大きなビアを産出する。これらの構造を第1の種類の構造に用いることができる。第1の種類の構造では、ガラスインターポーザをプリント基板接続にチップするボールグリッドアレイとして用いる。制御処理パラメータの結果は、ほとんど同様のポリマおよびガラスアブレーションとなった。機械処理で作成したビアは垂直に近いプロファイル、つまり、ほとんど90度の傾斜角度を有し、表面には亀裂はなかった。図15は機械処理を行ったビアの上面光学像であり、図16はSEM像である。
すでに述べた通り、応力除去バリアによって、ガラスインターポーザと金属導体との接着を促進することができる。金属を直接ガラスインターポーザに堆積することが、貫通ビア壁の事例のように、好ましく、または必要であることもある。金属ガラスインタフェースで熱膨張係数が違うため、ガラスの直接金属化は課題である。表面改質技術によってガラスに直接金属を接着することを促進することができるが、ガラス上に相対的に厚い金属ライナが作成されることによって、積層がはがれることもある。ポリマ応力除去バリアを用いることで、ガラス表面への金属接着を促進することができる。一般的には、TPV金属化は2段階の処理である。シード層を最初にTPV表面のすべてまたは一部に形成し、その後、他の金属および方法もあるが、銅電気メッキを用いて金属化を行う。シード層を形成するためには様々な方法があってよい。たとえば、限定するものではないが、無電解銅堆積またはスパッタリングを用いてシード層を形成することができる。
無電解銅は相対的に迅速で低コストな処理技術であり、大型パネルの寸法まで拡張可能である。ポリマおよびTPVを備える175μmの薄板ガラス基板をまず洗浄し、プラズマで処理して表面の不純物を取り除いた。四フッ化メタンおよび酸素プラズマを用いてポリマ表面を改質した。プラズマ処理後に、試料を完全にすすぎ、シード層金属化を行った。
TPVを備えるポリマ積層ガラスにチタン−銅スパッタリングを実施した。チタンの厚さは50nmおよび銅の厚さは1μmであった。スパッタリングしたシード層は、ポリマ表面への良好な接着を示す。スパッタリング後に、TPVを銅電解メッキし、完全にビアを充填した。COレーザアブレーションによって処理したTPVでは、図17、18a、および18bに示すように、ビアを完全に充填することができた。図17に示すように、ガラスインターポーザ500は、側壁504を備える複数のパッケージ貫通ビア502を有する。銅金属化層506を用いてビア502を充填し、パッケージ貫通ビアを製造した。(エキシマレーザによってアブレーション処理した)より小さいファインピッチビアのTPV金属化も、スパッタリングしたシード層および無電解銅堆積を用いて実施した。超ファインピッチビアを金属充填したTPVの上面図および断面図を図19aおよび19bに示す。
図20は貫通ビアをガラスインターポーザに作成するための代表的な方法である。ポリマをガラスインターポーザの上面の少なくとも一部分に積層する(600)。本発明のある実施形態では、ポリマをガラスインターポーザの底面の少なくとも一部分に積層する。本発明の別の実施形態では、銅または他の金属層をガラス表面またはポリマ積層層に堆積する。インターポーザおよび積層の少なくとも一部分を除去し(602)、貫通ビアを形成する。金属化シード層を適用してから(604)、金属層をメッキまたは堆積する(606)。その後、金属化層の一部分を選択的に除去し(608)、金属化パッケージ貫通ビアを形成する。
金属化シード層および積層を除去する際に、図20に一例として示すように、他の機能または有利点を提供するような方法で素材を除去することが有益となることもある。たとえば、図21は、貫通ビアの一部分が部分的または完全に金属化層で閉鎖されている貫通ビアを備えるインターポーザを例示する。たとえば、限定するものではないが、熱膨張および収縮によって起こる応力を、貫通ビアの一部分を閉鎖しながら、他の部分は開口したままの状態にすることによって吸収することができる。インターポーザ700は、BSGを含む様々な種類の媒体から作成することができるが、図21ではアブレーション処理を受けて素材をインターポーザ700から除去し、貫通ビア702を形成している。貫通ビア702は上部部分704および底部部分706を備える。「上部」および「底部」の指定は、本発明をいかなる幾何学的または空間的構成にも制限することを意図するものではなく、むしろ、本発明の代表的な実施形態を例示するために、2つの異なる部分を指定するためにのみ用いることに留意されたい。
図21に示すように、堆積時の上部部分704の金属化層710は、上部部分704を閉鎖するような方法で堆積された。しかし、金属化層708を堆積したときに、底部部分706は充填されなかったため、貫通ビア702の一部分、つまり、上部部分704は閉鎖される一方、底部部分706は開口したままである。インターポーザ700ならびに金属化層708および710が熱サイクルによって膨張および収縮するとき、本例示では底部部分706である開口部分は、ばねまたは弾力面として作用し、膨張および収縮を吸収する。本発明のある実施形態では、上部側の閉鎖部分は、ブラインドビアスタッキングを促進する。本発明のある実施形態では、貫通ビア702の残りの部分を何かの媒体で充填することが有利または必要であることもある。ある実施形態では、充填剤712を貫通ビア702に堆積する。充填剤712は様々な種類の素材であってよく、ポリマまたは金属合金を含むが、これらに限定されない。貫通ビア702が媒体によって充填されない場合は、空気が充填剤712として作用することもある。
追加の安定特徴を貫通ビアに提供することが必要または望ましいこともある。図22は可変体を金属化層と共に使用すると、金属化をインターポーザ内の貫通ビアに固定するために役立つことを例示する。貫通ビアの壁に堆積したシード層を用いることが現実的ではなく、したがって、貫通ビアの素材が熱サイクルされるときに金属化層と貫通ビアの壁との接着が低減する可能性がある場合もあるため、貫通ビアの金属化が貫通ビアの壁から分離することもある。貫通ビア内の金属化を保持する他の物理的支持がないと、金属化は貫通ビアの壁およびインターポーザからはがれ、マイクロ電子パッケージの短絡または断線の原因となる可能性もある。
熱サイクル期間を通じて金属化を貫通ビアに維持するために、図22は連結装置の使用を例示する。図では貫通ビア742を備えるインターポーザ740を例示する。貫通ビア742は貫通ビア742全体に堆積した金属化744を有する。ある実施形態では、金属化744が堆積すると、堆積後に、金属化744の部分が選択的に除去され、上部連結装置746および底部連結装置748を形成する。「上部」および「底部」の指定は、本発明をいかなる幾何学的または空間的構成にも制限することを意図するものではなく、むしろ、本発明の代表的な実施形態を例示するために、2つの異なる部分を指定するためにのみ用いることに留意されたい。
上部連結装置746は外側直径ABを有する一方、底部連結装置748は外側直径CDを有する。本発明のある実施形態では、直径ABの長さは直径CDより長くても、短くても、または同じ長さであってもよい。直径ABおよびCDの長さの関係は貫通ビア742の具体的な適用、コスト、または他の要因によって異なることもある。連結装置746および748は金属化744を貫通ビア742内に固定する。貫通ビア742において、金属化744が貫通ビア742の側壁から離れる場合でも、上部連結装置746が底部連結装置748と共同して提供する固定作用によって、金属化744を貫通ビア742に固定する。
図22の連結装置746および748または図21の閉鎖部分704などの様々な固定特徴を用いると、パッケージ貫通ビア上に構築する追加の特徴を提供することができる。たとえば、パッケージ貫通ビアの信頼性を増加することができるため、追加のポリマ層の特徴をパッケージ貫通ビア上に構築することができる。図23は、ポリマ積層を備えるガラスインターポーザの4つの金属層構造の断面図である。図23の断面図は、大きなビア直径(150μmの入口直径)を有する4つの金属層構造を示す。ポリマ積層804をガラスインターポーザ802に堆積後、ガラスインターポーザ802上のビア800を製造する。両側に同時に処理することによって、パターン化金属化を得る。本実施形態では、積層804はビア800の壁806には堆積していなかった。ビア810などのブラインドスタガードビアを用いて、最上部金属層812を近接する底部金属層814と接続することもできる。ポリマ積層の金属化をセミアディティブメッキ処理などの様々な処理を用いて実行することができるが、これに限定されない。ビア810などのブラインドビアを用いると様々な利点があるが、とりわけ、高密度な相互接続パッケージに存在する相互接続のコンフリクトを緩和するのに役立つ。
図24はパッケージ貫通ビアを製造するための代替的な代表的方法である。ガラスインターポーザの一部分を除去し(820)、貫通ビアを形成する。次に、貫通ビアと、インターポーザの上面および底面の少なくとも一部分を誘電体またはポリマ素材などの応力除去バリア素材で充填する(822)。誘電体の少なくとも一部分を除去し(824)、少なくとも1つの高密度貫通ビアを形成する。金属化シード層を積層層の少なくとも一部分に適用する(826)。金属化シード層も少なくとも1つの貫通穴の少なくとも一部分を充填する。金属化層を形成する金属をシード層に堆積する(828)。その後、金属化層の一部分を選択的に除去し(830)、金属化パッケージ貫通ビアを形成する。本代表的な実施形態では、金属化層を除去して連結装置を形成する。
複数の代表的な実施形態に関連して、本開示を説明してきたが、様々な図で例示し、以上説明したように、他の同様の実施形態が使用できること、記載した実施形態に修正および追加を行い、本発明から逸脱することなく、本発明の同様の機能を遂行できることが理解される。したがって、本発明はいかなる単一の実施形態にも限定されるものではなく、むしろ、以下の請求項による広さと範囲において解釈されるべきである。

Claims (31)

  1. 上部部分を有するガラスインターポーザ内に壁を有する複数の貫通ビアと、
    前記ガラスインターポーザの前記上部部分の少なくとも一部分上の応力除去バリアと、
    前記応力除去層の少なくとも一部分上の金属化シード層と、
    前記金属化シード層の少なくとも一部分上の伝導体であって、複数の金属化パッケージ貫通ビアを形成する前記複数の貫通ビアの少なくとも一部分を貫通する伝導体と、
    を備えるマイクロ電子パッケージであって、
    前記貫通ビアの少なくとも一部分は前記応力除去層または前記金属化シード層によって充填され、
    前記貫通ビアの前記壁の側壁に前記応力除去層を形成した
    ことを特徴とするマイクロ電子パッケージ。
  2. 前記応力除去バリアはポリマ膜を備える、請求項1に記載のマイクロ電子パッケージ。
  3. 前記ポリマ膜は、薄い乾燥膜を積層した誘電体を備える、請求項2に記載のマイクロ電子パッケージ。
  4. 前記ポリマ膜は、乾燥膜、液状被覆、または気相堆積薄膜として堆積する、請求項2に記載のマイクロ電子パッケージ。
  5. 前記応力除去バリアは、前記ガラスインターポーザの熱膨張係数と前記伝導体の熱膨張係数との間の熱膨張係数を有する、請求項1に記載のマイクロ電子パッケージ。
  6. 前記応力除去バリアは、前記ガラスインターポーザの底部部分の少なくとも一部分上にある、請求項1に記載のマイクロ電子パッケージ。
  7. 前記応力除去バリアは、前記ガラスインターポーザの前記貫通ビアの前記壁の少なくとも一部分上にある、請求項1に記載のマイクロ電子パッケージ。
  8. 前記応力除去バリアおよび前記金属化層は同一の素材を備える、請求項1に記載のマイクロ電子パッケージ。
  9. 前記応力除去バリアおよび前記金属化層は、パラジウム、ニッケル、ニッケル合金、および銅合金からなる群から選択される、請求項8に記載のマイクロ電子パッケージ。
  10. 前記応力除去バリアまたは前記金属化シード層によって充填されていない前記貫通ビアの残りの部分の少なくとも一部分は、充填剤によって充填される、請求項1に記載のマイクロ電子パッケージ。
  11. 前記充填剤は、空気、ポリマ、金属合金、およびそれらの任意の組み合わせからなる群から選択される、請求項10に記載のマイクロ電子パッケージ。
  12. 前記伝導体は少なくとも1つの連結装置を形成する、請求項1に記載のマイクロ電子パッケージ。
  13. ガラスインターポーザの上面の少なくとも一部分上のポリマと、
    積層層の少なくとも一部分上の金属化シード層と、
    を備えるマイクロ電子パッケージであって、
    前記ポリマおよび前記ガラスインターポーザの少なくとも一部分を除去して貫通ビアを形成し、
    前記貫通ビアの少なくとも一部分は、金属化層を形成する金属導体で充填され、前記金属化層の一部分を選択的に除去し、金属化パッケージ貫通ビアを形成する構成において、
    前記貫通ビアの前記壁の側壁にポリマの層を形成した、マイクロ電子パッケージ。
  14. 前記インターポーザの底面の少なくとも一部分上に前記ポリマをさらに備える、請求項13に記載のマイクロ電子パッケージ。
  15. 側壁の少なくとも一部分上に前記金属化層をさらに備える、請求項13に記載のマイクロ電子パッケージ。
  16. 前記ポリマは樹脂で被膜した銅を備える、請求項13に記載のマイクロ電子パッケージ。
  17. 前記ポリマは、薄い乾燥膜を積層した誘電体を備える、請求項13に記載のマイクロ電子パッケージ。
  18. パッケージ貫通ビアをガラスインターポーザに製造する方法であって、
    ポリマをガラスインターポーザの上面の少なくとも一部分上に積層し、
    前記ポリマおよび前記ガラスインターポーザの少なくとも一部分を除去して貫通ビアを形成し、
    前記貫通ビアの少なくとも一部分を金属導体で充填して金属化層を形成し、
    前記金属化層の一部分を選択的に除去して金属化パッケージ貫通ビアを形成すること、
    を備える構成において
    前記貫通ビアの前記壁の側壁にポリマの層を形成することを含む、方法。
  19. 前記貫通ビアの少なくとも一部分を金属導体で充填する前に、前記積層層の少なくとも一部分上に金属化シード層を堆積することをさらに備える、請求項18に記載の方法。
  20. 前記インターポーザの底面の少なくとも一部分上に前記ポリマを積層することをさらに備える、請求項18に記載の方法。
  21. 前記上面の少なくとも一部分上に前記ポリマを積層することと、前記底面の少なくとも一部分上に前記ポリマを積層することを同時に行う、請求項20に記載の方法。
  22. 前記側壁の少なくとも一部分上に前記金属化層を堆積することをさらに備える、請求項18に記載の方法。
  23. 前記貫通ビアの少なくとも一部分を金属導体で充填して金属化層を形成することは、前記貫通ビアの上部部分を閉鎖することを含む、請求項18に記載の方法。
  24. 前記ポリマは樹脂で被膜された銅を備える、請求項18に記載の方法。
  25. 前記ポリマが誘電体層である、請求項18に記載の方法。
  26. 前記誘電体層が、当該誘電体を複数積層した層である、請求項25に記載の方法。
  27. 前記インターポーザおよび積層の少なくとも一部分の除去は、レーザまたは機械穿孔を用いることを備える、請求項18に記載の方法。
  28. 前記レーザは二酸化炭素レーザ、紫外線レーザまたはエキシマレーザである、請求項27に記載の方法。
  29. 前記金属化層の一部分を選択的に除去して金属化パッケージ貫通ビアを形成することは、少なくとも1つの連結装置を形成することをさらに備える、請求項18に記載の方法。
  30. インターポーザの少なくとも一部分を除去してくぼみを形成し、
    前記くぼみを誘電体で充填し、
    前記誘電体の少なくとも一部分を除去して少なくとも1つの高密度貫通ビアを形成し、
    金属化シード層を積層層の少なくとも一部分に適用すること、
    を備える方法であって、
    金属化層は、少なくとも1つの貫通ビアの少なくとも一部分も充填し、
    前記金属化層の一部分を選択的に除去して金属化パッケージ貫通ビアを形成する構成において
    前記貫通ビアの前記壁の側壁にポリマの層を形成することを含む、方法。
  31. ポリマをインターポーザの上面および底面の少なくとも一部分に積層して誘電体層を形成し、
    前記インターポーザおよび積層層の少なくとも一部分を除去してビアを形成し、
    前記誘電体層を前記インターポーザの少なくとも一部分および前記ビアの少なくとも1つの側壁に適用し、
    金属化シード層を前記積層層の少なくとも一部分および前記ビアの少なくとも1つの側壁に適用し、
    前記ビアの少なくとも一部分を金属導体で充填して金属化層を形成し、
    前記金属化層の一部分を選択的に除去してパッケージ貫通ビアを形成する方法。
JP2012556246A 2010-03-03 2011-03-03 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法 Active JP5904556B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US30995210P 2010-03-03 2010-03-03
US61/309,952 2010-03-03
PCT/US2011/027071 WO2011109648A1 (en) 2010-03-03 2011-03-03 Through-package-via (tpv) structures on inorganic interposer and methods for fabricating same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016043983A Division JP2016154240A (ja) 2010-03-03 2016-03-08 マイクロ電子パッケージ、及び半導体パッケージ回路

Publications (2)

Publication Number Publication Date
JP2013521663A JP2013521663A (ja) 2013-06-10
JP5904556B2 true JP5904556B2 (ja) 2016-04-13

Family

ID=44542585

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012556246A Active JP5904556B2 (ja) 2010-03-03 2011-03-03 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法
JP2016043983A Pending JP2016154240A (ja) 2010-03-03 2016-03-08 マイクロ電子パッケージ、及び半導体パッケージ回路

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2016043983A Pending JP2016154240A (ja) 2010-03-03 2016-03-08 マイクロ電子パッケージ、及び半導体パッケージ回路

Country Status (6)

Country Link
US (2) US9275934B2 (ja)
EP (1) EP2543065A4 (ja)
JP (2) JP5904556B2 (ja)
KR (1) KR101825149B1 (ja)
CN (1) CN102947931A (ja)
WO (1) WO2011109648A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107663442A (zh) * 2016-07-27 2018-02-06 株式会社Lg化学 光固化树脂组合物及其用途

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8803269B2 (en) * 2011-05-05 2014-08-12 Cisco Technology, Inc. Wafer scale packaging platform for transceivers
US20130075268A1 (en) * 2011-09-28 2013-03-28 Micron Technology, Inc. Methods of Forming Through-Substrate Vias
US9184135B1 (en) 2011-11-01 2015-11-10 Trinton Microtechnologies System and method for metallization and reinforcement of glass substrates
US9236274B1 (en) 2011-11-01 2016-01-12 Triton Microtechnologies Filling materials and methods of filling through holes for improved adhesion and hermeticity in glass substrates and other electronic components
US9184064B1 (en) 2011-11-01 2015-11-10 Triton Microtechnologies System and method for metallization and reinforcement of glass substrates
US9337060B1 (en) 2011-11-01 2016-05-10 Triton Microtechnologies Filling materials and methods of filling through holes for improved adhesion and hermeticity in glass substrates and other electronic components
US9374892B1 (en) 2011-11-01 2016-06-21 Triton Microtechnologies Filling materials and methods of filling through holes for improved adhesion and hermeticity in glass substrates and other electronic components
WO2013095442A1 (en) * 2011-12-21 2013-06-27 Intel Corporation Dense interconnect with solder cap (disc) formation with laser ablation and resulting semiconductor structures and packages
US9082764B2 (en) 2012-03-05 2015-07-14 Corning Incorporated Three-dimensional integrated circuit which incorporates a glass interposer and method for fabricating the same
US20130242493A1 (en) * 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US10115671B2 (en) 2012-08-03 2018-10-30 Snaptrack, Inc. Incorporation of passives and fine pitch through via for package on package
TWI532100B (zh) * 2012-08-22 2016-05-01 國家中山科學研究院 三維半導體電路結構及其製法
JP6056386B2 (ja) * 2012-11-02 2017-01-11 凸版印刷株式会社 貫通電極付き配線基板及びその製造方法
JP2016508069A (ja) 2012-11-29 2016-03-17 コーニング インコーポレイテッド 基板をレーザー穿孔するための犠牲カバー層およびその方法
US20140151095A1 (en) * 2012-12-05 2014-06-05 Samsung Electro-Mechanics Co., Ltd. Printed circuit board and method for manufacturing the same
US9627338B2 (en) * 2013-03-06 2017-04-18 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming ultra high density embedded semiconductor die package
US9012912B2 (en) * 2013-03-13 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wafers, panels, semiconductor devices, and glass treatment methods
US9041205B2 (en) * 2013-06-28 2015-05-26 Intel Corporation Reliable microstrip routing for electronics components
TWI635585B (zh) * 2013-07-10 2018-09-11 矽品精密工業股份有限公司 半導體封裝件及其製法
US20150069618A1 (en) * 2013-09-11 2015-03-12 Innovative Micro Technology Method for forming through wafer vias
US9263370B2 (en) * 2013-09-27 2016-02-16 Qualcomm Mems Technologies, Inc. Semiconductor device with via bar
US9583417B2 (en) * 2014-03-12 2017-02-28 Invensas Corporation Via structure for signal equalization
EP3128547B1 (en) * 2014-03-31 2019-07-17 Toppan Printing Co., Ltd. Interposer and semiconductor device
JP2015198093A (ja) * 2014-03-31 2015-11-09 凸版印刷株式会社 インターポーザー、半導体装置、インターポーザーの製造方法、半導体装置の製造方法
JP6574207B2 (ja) 2014-05-05 2019-09-11 スリーディー グラス ソリューションズ,インク3D Glass Solutions,Inc 光活性基板を製造する、2d及び3dインダクタ、アンテナ、並びにトランス
US9335494B2 (en) 2014-05-15 2016-05-10 Tyco Electronics Corporation Optoelectronics structures
WO2015183915A1 (en) * 2014-05-27 2015-12-03 The University Of Florida Research Foundation, Inc. Glass interposer integrated high quality electronic components and systems
JP2015228455A (ja) * 2014-06-02 2015-12-17 株式会社東芝 半導体装置及びその製造方法
TWI585918B (zh) * 2014-07-18 2017-06-01 矽品精密工業股份有限公司 中介板及其製法
JP2016029681A (ja) * 2014-07-25 2016-03-03 イビデン株式会社 多層配線板及びその製造方法
US20230005834A1 (en) * 2014-08-18 2023-01-05 Samtec, Inc. Electrically conductive vias and methods for producing same
JP2016046361A (ja) * 2014-08-22 2016-04-04 凸版印刷株式会社 ガラスインターポーザ
KR101650938B1 (ko) * 2014-09-25 2016-08-24 코닝정밀소재 주식회사 집적회로 패키지용 기판
JP6473595B2 (ja) 2014-10-10 2019-02-20 イビデン株式会社 多層配線板及びその製造方法
US9433101B2 (en) 2014-10-16 2016-08-30 International Business Machines Corporation Substrate via filling
US20160111380A1 (en) * 2014-10-21 2016-04-21 Georgia Tech Research Corporation New structure of microelectronic packages with edge protection by coating
JP6539992B2 (ja) * 2014-11-14 2019-07-10 凸版印刷株式会社 配線回路基板、半導体装置、配線回路基板の製造方法、半導体装置の製造方法
KR102311088B1 (ko) * 2014-12-11 2021-10-12 엘지이노텍 주식회사 복층 인터포저를 포함한 전자 모듈
CN110880490A (zh) 2014-12-16 2020-03-13 奥特斯奥地利科技与系统技术有限公司 承载电子部件的部件承载件及制造部件承载件的方法
US9443799B2 (en) * 2014-12-16 2016-09-13 International Business Machines Corporation Interposer with lattice construction and embedded conductive metal structures
US9368442B1 (en) 2014-12-28 2016-06-14 Unimicron Technology Corp. Method for manufacturing an interposer, interposer and chip package structure
WO2016114133A1 (ja) * 2015-01-15 2016-07-21 凸版印刷株式会社 インターポーザ、半導体装置、およびそれらの製造方法
JP2017005081A (ja) * 2015-06-09 2017-01-05 凸版印刷株式会社 インターポーザ、半導体装置、およびそれらの製造方法
KR101795480B1 (ko) * 2015-04-06 2017-11-10 코닝정밀소재 주식회사 집적회로 패키지용 기판
CN104851892A (zh) * 2015-05-12 2015-08-19 深圳市华星光电技术有限公司 窄边框柔性显示装置及其制作方法
KR101679736B1 (ko) * 2015-05-14 2016-11-25 한양대학교 산학협력단 센서 패키징 및 그 제조 방법
JP6657609B2 (ja) * 2015-06-12 2020-03-04 凸版印刷株式会社 配線回路基板、半導体装置、配線回路基板の製造方法および半導体装置の製造方法
JP6160656B2 (ja) * 2015-06-18 2017-07-12 ウシオ電機株式会社 配線基板の製造方法、配線基板及び配線基板製造装置
CN115939112A (zh) 2015-08-31 2023-04-07 太浩研究有限公司 用于多芯片封装的无机中介件
US20170061046A1 (en) * 2015-09-01 2017-03-02 Kabushiki Kaisha Toshiba Simulation device of semiconductor device and simulation method of semiconductor device
US10212496B2 (en) 2015-10-28 2019-02-19 Ciena Corporation High port count switching module, apparatus, and method
DE102015121044B4 (de) 2015-12-03 2020-02-06 Infineon Technologies Ag Anschlussblock mit zwei Arten von Durchkontaktierungen und elektronische Vorrichtung, einen Anschlussblock umfassend
EP3414210A4 (en) * 2016-01-31 2019-11-27 3D Glass Solutions, Inc. MULTI-LAYER PHOTODEFINABLE GLASS COMPRISING INTEGRATED DEVICES
AU2017223993B2 (en) 2016-02-25 2019-07-04 3D Glass Solutions, Inc. 3D capacitor and capacitor array fabricating photoactive substrates
WO2017177171A1 (en) 2016-04-08 2017-10-12 3D Glass Solutions, Inc. Methods of fabricating photosensitive substrates suitable for optical coupler
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
EP3542395A4 (en) 2016-11-18 2020-06-17 Samtec, Inc. FILLING MATERIALS AND METHODS FOR FILLING THROUGH HOLES OF A SUBSTRATE
CA3058793C (en) 2017-04-28 2021-12-28 3D Glass Solutions, Inc. Rf circulator
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
KR101980871B1 (ko) * 2017-06-30 2019-05-23 한국과학기술원 관통형 tgv 금속 배선 형성 방법
KR102386799B1 (ko) 2017-07-07 2022-04-18 3디 글래스 솔루션즈 인코포레이티드 패키지 광활성 유리 기판들에서 rf 시스템을 위한 2d 및 3d 집중 소자 디바이스들
JP2019106429A (ja) * 2017-12-11 2019-06-27 凸版印刷株式会社 ガラス配線基板、その製造方法及び半導体装置
KR102419713B1 (ko) 2017-12-15 2022-07-13 3디 글래스 솔루션즈 인코포레이티드 결합 전송 라인 공진 rf 필터
WO2019136024A1 (en) 2018-01-04 2019-07-11 3D Glass Solutions, Inc. Impedance matching conductive structure for high efficiency rf circuits
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) * 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
WO2019199470A1 (en) 2018-04-10 2019-10-17 3D Glass Solutions, Inc. Rf integrated power condition capacitor
JP2019204921A (ja) * 2018-05-25 2019-11-28 凸版印刷株式会社 ガラス回路基板およびその製造方法
KR102475010B1 (ko) 2018-05-29 2022-12-07 3디 글래스 솔루션즈 인코포레이티드 저 삽입 손실 rf 전송 라인
JP7279306B2 (ja) * 2018-06-28 2023-05-23 凸版印刷株式会社 配線基板
WO2020060824A1 (en) 2018-09-17 2020-03-26 3D Glass Solutions, Inc. High efficiency compact slotted antenna with a ground plane
US11498096B2 (en) * 2018-11-06 2022-11-15 Siemens Medical Solutions Usa, Inc. Chip-on-array with interposer for a multidimensional transducer array
US11270955B2 (en) * 2018-11-30 2022-03-08 Texas Instruments Incorporated Package substrate with CTE matching barrier ring around microvias
JP6828733B2 (ja) * 2018-12-25 2021-02-10 凸版印刷株式会社 インターポーザー、半導体装置、インターポーザーの製造方法、半導体装置の製造方法
US11270843B2 (en) 2018-12-28 2022-03-08 3D Glass Solutions, Inc. Annular capacitor RF, microwave and MM wave systems
US11594457B2 (en) 2018-12-28 2023-02-28 3D Glass Solutions, Inc. Heterogenous integration for RF, microwave and MM wave systems in photoactive glass substrates
US11342256B2 (en) 2019-01-24 2022-05-24 Applied Materials, Inc. Method of fine redistribution interconnect formation for advanced packaging applications
CN111508926B (zh) * 2019-01-31 2022-08-30 奥特斯(中国)有限公司 一种部件承载件以及制造部件承载件的方法
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
CN109860143B (zh) 2019-02-27 2022-01-14 京东方科技集团股份有限公司 阵列基板、显示装置及制备方法、拼接显示装置
JP7293360B2 (ja) * 2019-03-07 2023-06-19 アブソリックス インコーポレイテッド パッケージング基板及びこれを含む半導体装置
JP7254930B2 (ja) 2019-03-12 2023-04-10 アブソリックス インコーポレイテッド パッケージング基板及びこれを含む半導体装置
KR102396184B1 (ko) 2019-03-12 2022-05-10 앱솔릭스 인코포레이티드 패키징 기판 및 이를 포함하는 반도체 장치
KR102515304B1 (ko) 2019-03-29 2023-03-29 앱솔릭스 인코포레이티드 반도체용 패키징 유리기판, 반도체용 패키징 기판 및 반도체 장치
WO2020204493A1 (ko) * 2019-04-01 2020-10-08 주식회사 아모센스 인터포저 및 그 제조방법
KR102387826B1 (ko) * 2019-04-01 2022-04-18 주식회사 아모센스 인터포저 및 그 제조방법
KR102386969B1 (ko) * 2019-04-01 2022-04-18 주식회사 아모센스 다층구조의 인터포저 및 그 제조방법
AU2020253553A1 (en) 2019-04-05 2021-10-28 3D Glass Solutions, Inc. Glass based empty substrate integrated waveguide devices
EP3948954B1 (en) 2019-04-18 2023-06-14 3D Glass Solutions, Inc. High efficiency die dicing and release
WO2020227033A1 (en) * 2019-05-07 2020-11-12 Rambus Inc. Crosstalk cancelation structures in semiconductor packages
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
US11705389B2 (en) * 2019-06-11 2023-07-18 Intel Corporation Vias for package substrates
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN113366633B (zh) 2019-08-23 2022-07-12 爱玻索立克公司 封装基板及包括其的半导体装置
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
CA3177603C (en) 2020-04-17 2024-01-09 3D Glass Solutions, Inc. Broadband induction
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
CN111816608B (zh) * 2020-07-09 2023-05-09 电子科技大学 玻璃盲孔加工方法
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US20220093517A1 (en) * 2020-09-18 2022-03-24 Intel Corporation Direct bonding in microelectronic assemblies
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
US20230086356A1 (en) * 2021-09-21 2023-03-23 Intel Corporation Glass core substrate including buildups with different numbers of layers
CN116092949B (zh) * 2023-04-10 2023-06-09 北京华封集芯电子有限公司 一种制作中介层的方法、中介层及芯片封装

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2746813B2 (ja) 1993-03-23 1998-05-06 京セラ株式会社 半導体素子収納用パッケージ
JP2591499B2 (ja) 1994-10-21 1997-03-19 日本電気株式会社 半導体装置
WO2001031984A1 (fr) * 1999-10-26 2001-05-03 Ibiden Co., Ltd. Panneau de cablage realise en carte imprimee multicouche et procede de production
US6399892B1 (en) 2000-09-19 2002-06-04 International Business Machines Corporation CTE compensated chip interposer
US6663946B2 (en) * 2001-02-28 2003-12-16 Kyocera Corporation Multi-layer wiring substrate
JP4012375B2 (ja) * 2001-05-31 2007-11-21 株式会社ルネサステクノロジ 配線基板およびその製造方法
EP1419526A2 (en) * 2001-08-24 2004-05-19 MCNC Research and Development Institute Through-via vertical interconnects, through-via heat sinks and associated fabrication methods
JP2003289073A (ja) * 2002-01-22 2003-10-10 Canon Inc 半導体装置および半導体装置の製造方法
JP2004179545A (ja) 2002-11-28 2004-06-24 Kyocera Corp 配線基板
JP2005136042A (ja) 2003-10-29 2005-05-26 Kyocera Corp 配線基板及び電気装置並びにその製造方法
US7276787B2 (en) * 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
JP4634735B2 (ja) * 2004-04-20 2011-02-16 大日本印刷株式会社 多層配線基板の製造方法
JP4776247B2 (ja) * 2005-02-09 2011-09-21 富士通株式会社 配線基板及びその製造方法
WO2006104043A1 (ja) * 2005-03-25 2006-10-05 Mitsubishi Rayon Co., Ltd. 表面処理方法および表面処理された物品
US7402515B2 (en) * 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
JP4716819B2 (ja) * 2005-08-22 2011-07-06 新光電気工業株式会社 インターポーザの製造方法
KR20070047114A (ko) * 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
US7863189B2 (en) 2007-01-05 2011-01-04 International Business Machines Corporation Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
US7902638B2 (en) 2007-05-04 2011-03-08 Stats Chippac, Ltd. Semiconductor die with through-hole via on saw streets and through-hole via in active area of die
JP5125470B2 (ja) * 2007-12-13 2013-01-23 富士通株式会社 配線基板及びその製造方法
JP5217639B2 (ja) * 2008-05-30 2013-06-19 富士通株式会社 コア基板およびプリント配線板
JP2010010592A (ja) * 2008-06-30 2010-01-14 Sanyo Electric Co Ltd 素子搭載用基板、半導体モジュール、携帯機器ならびに素子搭載用基板の製造方法
US8168470B2 (en) * 2008-12-08 2012-05-01 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure in substrate for IPD and baseband circuit separated by high-resistivity molding compound
US8207453B2 (en) * 2009-12-17 2012-06-26 Intel Corporation Glass core substrate for integrated circuit devices and methods of making the same
US20110217657A1 (en) * 2010-02-10 2011-09-08 Life Bioscience, Inc. Methods to fabricate a photoactive substrate suitable for microfabrication
US8227839B2 (en) * 2010-03-17 2012-07-24 Texas Instruments Incorporated Integrated circuit having TSVS including hillock suppression
US20120261805A1 (en) * 2011-04-14 2012-10-18 Georgia Tech Research Corporation Through package via structures in panel-based silicon substrates and methods of making the same
US20140035935A1 (en) * 2012-08-03 2014-02-06 Qualcomm Mems Technologies, Inc. Passives via bar

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107663442A (zh) * 2016-07-27 2018-02-06 株式会社Lg化学 光固化树脂组合物及其用途
CN107663442B (zh) * 2016-07-27 2020-09-18 株式会社Lg化学 光固化树脂组合物及其用途

Also Published As

Publication number Publication date
JP2016154240A (ja) 2016-08-25
JP2013521663A (ja) 2013-06-10
US9275934B2 (en) 2016-03-01
EP2543065A1 (en) 2013-01-09
US20130119555A1 (en) 2013-05-16
KR101825149B1 (ko) 2018-02-02
KR20130038825A (ko) 2013-04-18
WO2011109648A1 (en) 2011-09-09
US20160141257A1 (en) 2016-05-19
EP2543065A4 (en) 2018-01-24
CN102947931A (zh) 2013-02-27
US10672718B2 (en) 2020-06-02

Similar Documents

Publication Publication Date Title
JP5904556B2 (ja) 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法
Sukumaran et al. Through-package-via formation and metallization of glass interposers
US9167694B2 (en) Ultra-thin interposer assemblies with through vias
Sukumaran et al. Design, fabrication, and characterization of ultrathin 3-D glass interposers with through-package-vias at same pitch as TSVs in silicon
Sukumaran et al. Low-cost thin glass interposers as a superior alternative to silicon and organic interposers for packaging of 3-D ICs
TWI819252B (zh) 半導體核心組件
US4774632A (en) Hybrid integrated circuit chip package
CN112534574A (zh) Tsv之上的大金属焊盘
US20160111380A1 (en) New structure of microelectronic packages with edge protection by coating
JP6747063B2 (ja) ガラス回路基板
US20120261805A1 (en) Through package via structures in panel-based silicon substrates and methods of making the same
CN103178044B (zh) 具有一体化金属芯的多层电子支撑结构
JP2010171377A (ja) 貫通電極基板及びその製造方法
CN104269384A (zh) 嵌入式芯片
US20130249047A1 (en) Through silicon via structure and method for fabricating the same
US6440641B1 (en) Deposited thin film build-up layer dimensions as a method of relieving stress in high density interconnect printed wiring board substrates
TWM605446U (zh) 一種部件承載件
Chen et al. Low cost glass interposer development
KR101243304B1 (ko) 인터포저 및 그의 제조 방법
US8841209B2 (en) Method for forming coreless flip chip ball grid array (FCBGA) substrates and such substrates formed by the method
KR101115526B1 (ko) 관통 실리콘 비아 제조 방법
CN104270885A (zh) 具有聚合物基质的插件框架及其制造方法
JP7334819B2 (ja) 配線基板、および配線基板を有する半導体装置
JP6950795B2 (ja) ガラス回路基板
TW202326962A (zh) 與玻璃核心基板耦接的多個晶粒

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150325

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150525

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160309

R150 Certificate of patent or registration of utility model

Ref document number: 5904556

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250