TW202225442A - 間隙填充的非晶碳 - Google Patents

間隙填充的非晶碳 Download PDF

Info

Publication number
TW202225442A
TW202225442A TW110132604A TW110132604A TW202225442A TW 202225442 A TW202225442 A TW 202225442A TW 110132604 A TW110132604 A TW 110132604A TW 110132604 A TW110132604 A TW 110132604A TW 202225442 A TW202225442 A TW 202225442A
Authority
TW
Taiwan
Prior art keywords
processing chamber
amorphous carbon
plasma
substrate
feature
Prior art date
Application number
TW110132604A
Other languages
English (en)
Inventor
閔笑全
李光德D
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202225442A publication Critical patent/TW202225442A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明描述了用於在基板上沉積非晶碳層及用於用非晶碳間隙填充法填充基板特徵的方法。此方法包括執行沉積循環,該沉積循環包括以下步驟:將烴源引入處理腔室;將電漿引發氣體引入處理腔室;在處理腔室內在大於600℃的溫度下產生電漿;以大於200 nm/hr的沉積速率在基板上形成非晶碳層;以及淨化處理腔室。

Description

間隙填充的非晶碳
本揭示案的實施例大體係關於積體電路的製造,更特定而言,係關於在半導體基板上沉積非晶碳層。
積體電路已經演變成複雜的元件,其可以在單個晶片上包含數百萬個電晶體、電容器及電阻器。晶片設計的發展不斷要求更快的電路系統及更高的電路密度。對具有更大電路密度的更快電路的需求對用於製造此類積體電路的材料提出了相應的要求。特別地,隨著積體電路元件的尺寸減小到低於微米尺寸,不僅需要使用低電阻率導電材料(諸如銅)來改進元件的電氣效能,還需要使用低介電常數絕緣材料,通常稱為低介電常數絕緣材料。
生產具有低介電常數介電材料且表面缺陷或特徵變形很少或沒有的元件是有困難的。介電常數小於約3.0的低介電常數介電材料通常為多孔的,並且在後續製程步驟中容易被劃傷或損壞,因此增加了在基板表面上形成缺陷的可能性。此類低介電常數介電材料通常易碎,且在習用拋光製程(諸如化學機械研磨(chemical mechanical polishing; CMP))下可能變形。限制或減少此類低介電常數介電材料的表面缺陷及變形的一種解決方案為,在圖案化及蝕刻之前在暴露的低介電常數介電材料上沉積硬遮罩。硬遮罩防止精密低介電常數介電材料的損壞及變形。此外,結合習用微影技術,硬遮罩層可用作蝕刻遮罩,以防止在蝕刻期間移除低介電常數介電材料。
非晶氫化碳,a-C:H或α-C:H,為一種可用作金屬、非晶矽及介電材料(諸如二氧化矽或氮化矽材料等)的硬遮罩的材料。非晶碳被認為是一種無長程晶序的碳材料,其可能含有大量氫,例如大約10到45原子%的氫。已經觀察到非晶碳具有化學惰性、光學透明性及良好的機械效能。儘管a-C:H膜可以經由各種技術沉積,但電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)由於其成本較低及膜性質可調性而得到廣泛應用。
邏輯及記憶體應用兩者都需要碳間隙填充製程。碳材料可以使用電漿蝕刻去除,而不會影響底層材料。在多層3D NAND生產中,需要犧牲記憶體孔塞製程來保護底層記憶體孔。此類製程需要滿足產量、乾式蝕刻可去除性及高溫(~850℃)穩定性的要求。因此,需要提供在高溫下穩定的非晶碳材料的間隙填充製程。
一或多個實施例係關於一種沉積膜的方法。在一或多個實施例中,此方法包括以下步驟:執行一沉積循環,該沉積循環包括以下步驟:將烴源引入處理腔室;將電漿引發氣體引入處理腔室;在大於600℃之溫度下在處理腔室內產生電漿;在基板上形成非晶碳層;以及淨化處理腔室。
在一或多個實施例中,一種處理方法包括以下步驟:在基板表面上形成非晶碳膜,基板表面上具有至少一個特徵,至少一個特徵從基板表面至底表面延伸一特徵深度,至少一個特徵具有由第一側壁及第二側壁限定的寬度,其中非晶碳膜沉積在基板表面、至少一個特徵的第一側壁、第二側壁及底表面上。
一或多個實施例係關於一種非暫時性電腦可讀取媒體,包括多個指令,當由處理腔室的控制器執行此些指令時,使處理腔室執行以下操作:執行沉積循環的步驟,該沉積循環包括以下步驟:將烴源引入處理腔室;將電漿引發氣體引入處理腔室;在處理腔室內在大於600℃的溫度下產生電漿;在基板上形成非晶碳層;以及淨化處理腔室。
在描述本揭示案之若干示例性實施例之前,應理解,本揭示案不限於構造詳圖或在以下具體實施方式中闡述的製程步驟。本揭示案能夠用於其他實施例,並且能夠以各種方式實踐或進行。
本文中使用的術語「約」是指近似或接近,且在數值或範圍的上下文中,是指數值±15%或更小的變化。例如,相差±14%、±10%、±5%、±2%或±1%的值將滿足約的定義。
如在本說明書及所附申請專利範圍中所使用的,術語「基板」或「晶圓」指製程作用於其上的表面或表面的一部分。熟習此項技術者還將理解,除非上下文另有明確指示,否則對基板的引用僅指基板的一部分。另外,對在基板上沉積的引用可意味裸基板和具有在其上沉積或形成的一或多個薄膜或特徵的基板兩者。
如本文使用的「基板」是指任何基板或在基板上形成的材料表面,在製造製程中在該基板或該材料表面上執行薄膜處理。例如,在其上可執行處理的基板表面取決於應用包括材料,諸如矽、二氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,諸如金屬、金屬氮化物、金屬合金,以及其他導電材料。基板包括但不限於半導體晶圓。基板可暴露於預處理製程以研磨、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上的薄膜處理外,在本揭示中,所揭示的膜處理步驟的任一步也可在基板上形成的下層上執行,如下文更詳細地揭示,以及術語「基板表面」意欲包括如上下文指出的此種下層。因此,例如,在膜/層或部分膜/層已經沉積在基板表面上的情況下,新沉積的膜/層的暴露表面變成基板表面。
如本說明書及隨附申請專利範圍中使用,術語「前驅物」、「反應物」、「反應氣體」等等可互換使用以指可與基板表面反應之任何氣態物質。
在一或多個實施例中,電漿增強化學氣相沉積(PECVD)由於成本較低及膜性質的多功能性而被廣泛用於沉積非晶碳膜。在PECVD製程中,將載氣中已夾帶的烴源(諸如氣相烴或液相烴蒸汽)引入PECVD腔室中。在一或多個實施例中,電漿由遠端電漿源(remote plasma source; RPS)或電容耦合電漿(capacitively coupled plasma; CCP)或電感耦合電漿(inductively coupled plasma; ICP)與環境氣體(如氬(Ar)、氦(He)、氨(NH 3)、氮(N 2)、氫(H 2)或其混合物產生。隨後,在腔室中引發電漿以產生激發的CH自由基。激發的CH自由基以化學方式結合到位於腔室中的基板表面,在其上形成所需的非晶碳膜。
PECVD技術藉由向基板表面附近的反應區施加電場,促進反應氣體的激發及/或解離,從而產生反應物種的電漿。電漿中物種的反應性降低了發生化學反應所需的能量,實際上降低了此類PECVD製程所需的溫度。
本文參考PECVD製程描述的實施例可以使用任何合適的薄膜沉積系統來執行。合適系統的實例包括CENTURA®系統,其可使用DXZ®處理腔室、PRECISION 5000®系統、PRODUCER®系統、PRODUCER®GTTM系統、PRODUCER®XP PRECISION™系統、PRODUCER®SETM系統、ENDURA®系統、Sym3®處理腔室及Mesa™處理腔室,所有這些都可從加利福尼亞州聖克拉拉市的應用材料公司購買。能夠執行PECVD製程的其他工具也可適用於受益於本文的實施例。此外,可以利用任何能夠實現本文PECVD製程的系統。本文描述的任何設備描述為說明性的,且不應被解釋或解釋為限制本文描述的實施方式的範疇。
使用非晶碳層的元件製造商要求滿足兩個關鍵要求:(1)底層材料乾式蝕刻期間硬遮罩的高選擇性;及(2)用於微影對準精度的可見光譜中的高光學透明度。如本文所用,術語「乾式蝕刻」大體指材料不藉由浸入化學溶液而溶解的蝕刻製程,且包括諸如反應離子蝕刻、濺射蝕刻及氣相蝕刻等方法。
硬遮罩層通常用於窄接觸及/或深接觸蝕刻應用以及高深寬比(high aspect ratio; HAR)蝕刻應用,其中光阻劑的厚度可能不足以遮蔽底層。這尤其適用於關鍵尺寸縮小的情況。
V-NAND或3D-NAND結構用於快閃記憶體應用。V-NAND元件為垂直堆疊的NAND結構,大量單元以塊的形式排列。如本文所使用的,術語「3D-NAND」指一類電子(固態)非揮發性電腦存儲記憶體,其中記憶體單元堆疊在多層中。3D NAND記憶體大體包括包括浮閘電晶體的複數個記憶體單元。習知地,3D NAND記憶體單元包括圍繞位元線以三維排列的複數個NAND記憶體結構。
3D NAND技術中的一個關鍵步驟為狹縫蝕刻。隨著每個技術節點中層數的增加,為了控制狹縫蝕刻輪廓,硬遮罩膜的厚度必須按比例增加,以承受高深寬比蝕刻輪廓。由於高硬度,並且在狹縫蝕刻後易於剝離,因此可以使用非晶態碳(aC:H)膜。在多層3D NAND生產中,需要犧牲記憶體孔塞製程來保護底層記憶體孔。此類製程需要滿足產量、乾式蝕刻可去除性及高溫(例如,850℃)穩定性的要求。
其他方法包括非晶矽基製程或其他非晶碳基製程。非晶矽材料需要濕法去除製程,這會對氧化物/氮化物堆產生有害影響。其他非晶態碳材料的沉積速率低,產量慢,且在高溫下不穩定。
在一或多個實施例中,提供了用於在化學氣相沉積系統中,特別是在電漿增強化學氣相沉積(PECVD)系統中沉積具有改進保形性的非晶碳(a-C:H)材料的方法。層的保形性通常藉由沉積在特徵側壁上的層的平均厚度與基板場或上表面上相同沉積層的平均厚度的比率(可表示為百分比)來量化。觀察到藉由本文方法沉積的層具有大於約30%、諸如70%或更大、約7:10或更大、諸如約80%或更大、約4:5或更大、至約100%、約1:1或到約200%或更大、約2:1的保形性。
一或多個實施例的非晶碳材料可經由氧(O 2)電漿容易地去除,從而消除對氧化物/氮化物堆疊的影響。一或多個實施例的製程有利地提供比其他方法大十倍以上的沉積速率。此外,與其他非晶碳材料相比,一或多個實施例的製程有利地提供具有沉積輪廓的可調諧性,同時還具有高溫穩定性。
特別地,提供了一種用於改進a-C:H層的共形沉積的方法。保形沉積可藉由以下步驟來改進:利用碳氫比為1:2或更大(諸如碳氫比為2:3或更大)的前驅物,以及選自氦、氫、氮、氬或其組合之群組的電漿引發氣體,在增加前驅物至電漿引發氣體的流速,在增加沉積壓力,在增加沉積溫度,在在較低RF功率應用的情況下,以及在具有減小的沉積速率的電漿環境下,藉由在多層中沉積非晶碳,以及上述各項之組合。本文中的沉積製程可在適當的處理系統中執行。
出於描述目的,描述了用於間隙填充應用的非晶碳膜的沉積。然而,熟習此項技術者將理解,所描述的前驅物及方法不限於間隙填充應用,並且可用於任何PECVD膜形成。第1A圖示出了具有特徵110的基板100的部分橫截面視圖。為說明目的,圖式示出具有單一特徵之基板;然而,熟習此項技術者應理解可存在多於一個特徵。特徵110之形狀可為任何適當的形狀,包括但不限於溝槽及圓柱形通孔。如此方面使用,術語「特徵」意謂任何故意的表面不規則。特徵的合適示例包括但不限於具有頂部、兩個側壁及底部的溝槽、具有頂部及兩個側壁的峰部。特徵可具有任何適當的深寬比(特徵之深度與特徵之寬度的比值)。在一些實施例中,深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、或50:1。
在一或多個實施例中,基板100具有基板表面120。至少一個特徵110在基板表面120中形成開口。特徵110從基板表面120延伸至底表面112的深度D。特徵110具有定義特徵110的寬度W的第一側壁114及第二側壁116。側壁及底部形成的開口區域也稱為間隙。
本揭示的一或多個實施例係關於其中提供其上具有至少一個特徵的基板表面的處理方法。在此方面使用的術語「提供」意味將基板置於用於進一步處理的位置或環境中。
第1B圖示出了具有特徵110的基板100的部分橫截面視圖。如第1B圖所示,在基板表面120及至少一個特徵110的第一側壁114、第二側壁116及底表面112上形成非晶碳膜150。非晶碳膜150填充至少一個特徵110,從而基本上不形成接縫。接縫為在特徵110的側壁之間、但不一定在中間的特徵中形成的間隙。在此方面使用的術語「基本上沒有接縫」意味著在側壁之間的膜中形成的任何間隙小於側壁橫截面積的約1%。
非晶碳膜150可以藉由任何合適的製程形成。在一些實施例中,藉由電漿增強化學氣相沉積(PECVD)形成非晶碳膜150。換言之,可以藉由電漿增強化學氣相沉積製程沉積非晶碳膜150。
第1C圖示出了具有特徵110的基板100的部分橫截面視圖,其中非晶碳膜150已經平面化,使得其基本上與基板表面120共面。平面化可藉由熟習此項技術者已知的任何方法進行,包括但不限於化學機械研磨(CMP)、蝕刻等。
本發明的態樣提供改進的非晶碳層的保形沉積。改進的保形沉積可藉由利用碳氫比為1:2或更大(諸如碳氫比為2:3或更大)的前驅物的製程實現,可使用從氦、氫、氮、氬或其組合之群組中選擇的電漿引發氣體實現,可在增加前驅物至電漿引發氣體流速時實現,可在增加沉積壓力時實現,可在增加沉積溫度時實現,可在較低RF功率應用時實現,可在具有降低沉積速率的電漿環境中實現,可用增加氣體分配器與基板表面之間的間距來實現,可藉由在多層中沉積非晶碳實現,以及可藉由上述組合的方法實現。咸信,本文的製程提供了增加的沉積速率及更好的熱穩定性,從而提供了更保形的沉積製程。
在沉積製程的一或多個實施例中,藉由包括將烴源及電漿引發氣體引入處理腔室(諸如結合第4圖描述的處理腔室300)的製程形成非晶碳層。烴源為一或多種烴化合物及可選的載氣(諸如氬氣)的混合物。
一或多種烴化合物可包含碳原子與氫原子之比為1:2或更大(例如大於1:2)的化合物。例如,觀察到碳與氫(或氫的取代基,諸如氟)之比為2:3或更大,諸如從2:3至2:1,例如從約2:3至約3:2,以產生具有改進的保形性的非晶碳膜。咸信,具有所述碳氫原子比的此類烴化合物導致更具各向同性的沉積製程。
烴化合物可以為部分或完全摻雜的烴化合物衍生物,也可以受益於本發明方法。衍生物包括烴化合物的含氮、含氟、含氧、含羥基及含硼衍生物。
大體上,可包括在烴源中的烴化合物或其衍生物可由式C AH BF C描述,其中A的範圍在1與24之間,B的範圍在0與50之間,C的範圍在0與50之間,且A與B+C的比率為1:2或更大,例如大於1:2。例如,A與B+C的比率可為2:3或更大,諸如從2:3到2:1,並且在進一步的實例中,從2:3到3:2。在一或多個實施例中,其中C=0,烴源可具有式C xH y,其中2/3=<x/y=<3/2,其中x/y為相應的原子數。或者,對於氧及/或氮取代化合物,烴源可由式C AN BO CF DN E描述,其中A的範圍在1與24之間,B的範圍在0與50之間,C的範圍在0與10之間,D的範圍在0與50之間,E的範圍在0與10之間,並且A與B+C+D+E的比率為1:2或更大,例如大於1:2。例如,A比B+C+D+E可為2:3或更大,諸如從2:3到2:1,並且在進一步的實例中,從2:3到3:2。
合適的烴化合物包括以下一或多種化合物,例如,炔烴,諸如乙炔(CH)、乙烯基乙炔及其衍生物、芳香烴,諸如苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃等,α-萜烯、異丙苯、1.1.3.3.-四甲基丁基苯、第三丁基醚、第三丁基乙烯、甲基丙烯酸甲酯及第三丁基糠醚,具有式C 3H 2及C 5H 4的化合物,鹵化芳香化合物,包括單氟苯、二氟苯、四氟苯、六氟苯等。其他合適的烴化合物包括烯烴,諸如乙烯、丙烯、丁烯、戊烯等,二烯,諸如丁二烯、異戊二烯、戊二烯、己二烯等,以及鹵代烯烴包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、一氯乙烯、二氯乙烯,三氯乙烯、四氯乙烯等。碳原子與氫原子之比大於1:2的前驅物的一個實例為C 4H 2,其可為丁二炔。
此外,在一或多個實施例中,前驅物具有3:1或更大的碳原子與氫原子比率,諸如5:1,例如,10:1或更大。咸信,隨著碳氫比的增加,碳原子將在沉積期間與相鄰的碳原子鍵結,藉由形成複雜的三維短程有序結構網,從而使沉積膜的保形性更好。
非晶碳沉積製程包括使用電漿引發氣體,此氣體在烴化合物之前及/或同時被引入腔室,並且引發電漿以開始沉積。電漿引發氣體可為高電離電位氣體,包括且不限於氦氣、氫氣、氮氣、氬氣及其組合。電漿引發氣體也可為化學惰性氣體。諸如氦氣、氮氣或氬氣。氣體的合適電離電位約為5 eV(電子電位)至25 eV。電漿引發氣體可在烴源之前引入腔室,從而允許形成穩定的電漿,並減少電弧發生的機會。已觀察到使用具有高電離電位的電漿引發氣體可在沉積期間提供較少各向異性的膜蝕刻,從而改進非晶碳膜沉積中的保形性。作為稀釋氣體或載氣的惰性氣體,諸如氬氣,可與電漿引發氣體、烴源或其組合一起引入。
烴化合物及電漿引發氣體可以烴化合物與電漿引發氣體的流量比從約1:100或更大(例如,從約1:100到100:1)引入,例如對於非晶碳沉積從約1:10到約10:1。在一個實施例中,烴化合物與電漿引發氣體的流量比可為約1:5或更大,諸如約1:5至約2:1,例如約1:2至約1:1,可用於非晶碳沉積。已觀察到,增加烴化合物與電漿引發氣體流量比以提供相比較低比率改進的保形性。
可藉由保持約2托或更大的腔室壓力(諸如,從約2托到約20托),以及在一個實施例中,從約7托或更大的腔室壓力(例如,從約7托到約9托),從處理氣體沉積非晶碳層。已經觀察到,保形性隨著壓力的增加而增加,並且咸信離子在到達基板之前會經歷更多散射,因此,失去了一些蝕刻能力及自由基,該等自由基經歷了更多的散射且以更隨機和各向同性的角度到達基板表面,獲得更具各向同性和保形的薄膜生長。
一或多個實施例的非晶碳層有利地從烴源沉積在保持基板溫度高於600℃的腔室中,此基板溫度包括從約600℃到約800℃的溫度範圍,諸如約600℃、約650℃、約700℃、約750℃及約800℃的溫度。在不受理論約束的情況下,認為高溫下的沉積會產生熱穩定性提高的非晶碳間隙填充膜。令人驚訝的是,在升高的溫度下沉積非晶碳薄膜可以提高沉積速率並改進保形性。此外,隨著溫度的升高,吸附碳前驅物的擴散率或移動性增加,導致更具各向同性的沉積及更好的保形性。
在一或多個實施例中,非晶碳層的沉積速率大於200 nm/hr,包括大於300 nm/hr及大於350 nm/hr。
在一或多個實施例中,將烴源及電漿引發氣體引入腔室中,並且電漿經引發以開始沉積。雙頻RF系統可用於產生電漿。咸信雙頻RF功率應用提供通量及離子能量的獨立控制,因為咸信離子撞擊膜表面的能量會影響膜密度。咸信,高頻電漿控制電漿密度,而低頻電漿控制離子撞擊基板表面的動能。混合RF功率的雙頻源提供約10 MHz至約30 MHz範圍內(例如約13.56 MHz)的高頻功率以及約10 KHZ至約1 MHz範圍內(例如約350 KHZ)的低頻功率。當使用雙頻RF系統沉積非晶碳膜時,第二RF功率與總混合頻率功率之比較佳小於約0.6到1.0(0.6:1)。施加的RF功率及一或多個頻率的使用可基於基板大小及所用設備而變化。可以使用單頻率RF功率應用,並且通常為如本文描述應用高頻功率。
可藉由以約0.01 W/cm至約5 W/cm(諸如約0.01至約1 W/cm,例如約0.1 W/cm)的功率密度向基板表面區域施加RF功率來產生電漿。功率施加可為約1瓦特至約2000瓦特,諸如約10瓦特至約200瓦特,例如對於300 mm基板約20瓦特。
在不受理論約束的情況下,人們認為電漿製程藉由減少含能離子的數量,使烴化合物(即自由基)以更隨機的沉積圖案到達基板表面,從而降低非晶碳的增加速率,以改進保形性,從而以更具各向同性的沉積模式提供所得膜生長。還觀察到電漿沉積的增加,以提供更高的沉積速率,允許吸附的碳前驅物在基板表面擴散,並提供更保形的層。
用於處理300 mm圓形基板的示例性沉積製程使用電漿引發氣體(諸如氦)及烴源(諸如乙炔(C 2H 2))。此製程可包括以約400 sccm至約8000 sccm的流速供應電漿引發氣體(諸如氦),以約400 sccm至8000 sccm的流速供應烴源(諸如乙炔(C 2H 2),施加約10 W至約2000 W的雙頻RF功率,將腔室壓力保持在約2托至約20托,並將腔室溫度保持在600℃以上。此製程範圍為非晶層提供約200 nm/hr至約1000 nm/hr範圍內的沉積速率,其中保形性(沉積在特徵側壁上的層的平均厚度與基板場或上表面上相同沉積層的平均厚度之比)為從約30%到約100%。
在沉積製程的一或多個實施例中,執行複數個單獨的非晶碳沉積以形成非晶碳層。在多個沉積製程的一個態樣中,如本文描述的沉積步驟之後是蝕刻步驟,其中電漿引發氣體、稀釋氣體及/或前驅物可以降低速率或無沉積速率流動。合適的電漿引發氣體、稀釋氣體及/或前驅物可以約100 sccm至約40000 sccm的流速流入腔室。若使用電漿引發氣體及/或稀釋氣體,則可為蝕刻步驟引發電漿。在一或多個實施例中,蝕刻電漿用於修整碳層形態。修整後,保形性得到改進。在一或多個實施例中,蝕刻氣體或蝕刻劑包括氫(H 2)、氨(NH 3)、一氧化二氮(N 2O)、氧(O 2)中的一或多種。隨後可重複沉積及蝕刻步驟,直到獲得所需厚度,並且可為1至100個循環,諸如10至50個循環,例如30個循環,或可替換地沉積在非晶碳材料厚度的約1%至約100%之間,諸如約2%至約10%循環,例如約3.3%。單個循環可在每個循環中沉積厚度為約1 A至約1000 A的非晶碳材料,以形成厚度為約10 A至約15000 A的非晶碳層。循環沉積製程可使用一或多個上述製程參數調整。
在一或多個實施例中,多層沉積方案改進了保形性。一般而言,當給定非晶碳膜厚度的層數增加時(更小的單個層厚度及更多的重複),保形性得到改進。
如第2A圖~第2B圖所示,本發明方法的一個主要優點為與其他非晶碳沉積製程相比提高了保形性。第2A圖示出了具有特徵210的基板200的部分橫截面視圖。在一或多個實施例中,基板200具有基板表面220。至少一個特徵210在基板表面220中形成開口。特徵210從基板表面220延伸至底表面212達深度D f。特徵210具有第一側壁214及第二側壁216,其限定特徵210的寬度W f。由側壁及底部形成的開口區域也被稱為間隙。
第2B圖示出了具有特徵210及在其上形成的非晶碳層250的基板200的示意性橫截面視圖。非晶碳層250示出了使用本發明方法沉積的膜的典型外觀。定性地,非晶碳層250為高度保形的並且完全覆蓋特徵210的側壁214、216及底表面212。定量地,非晶碳層250可具有約30%到約100%的保形性。例如,從約70%到約90%,其中保形性定義為沉積在側壁214、216上的非晶碳層250的平均厚度S與基板200上表面220上非晶碳層250的平均厚度T的比值。
第3A圖示出了具有特徵270的基板260的部分橫截面視圖。基板260具有基板表面280。至少一個特徵270在基板表面280中形成開口。至少一個特徵270從基板表面280延伸至底表面272的特徵深度D f。至少一個特徵270具有定義至少一個特徵270的寬度W f的第一側壁274及第二側壁276。由側壁274、276及底部272形成的開口區域也稱為間隙。在一或多個實施例中,寬度W f沿至少一個特徵270的深度D 1為均勻的。在其他實施例中,寬度W f為在至少一個特徵270的頂部大於至少一個特徵270的底部表面272的寬度,W f。在又一實施例中,寬度W f在至少一個特徵270的中間大於在至少一個特徵270的頂部的寬度W f,並且大於至少一個特徵270的底表面272處的寬度W f
在一或多個實施例中,基板260為包括沉積在半導體基板260上的氮化物材料264及氧化物材料266的複數個交替層的膜堆疊。
半導體基板262可為任何合適的基板材料。在一或多個實施例中,半導體基板2622包括半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、磷酸銦(InP)、砷化銦鎵(InGaAs)、銦鋁砷化物(InAlAs)、鍺(Ge)、矽鍺(SiGe)、銅銦硒化鎵(CIGS)、其他半導體材料或其任何組合。在一或多個實施例中,半導體基板262包含矽(Si)、鍺(Ge)、鎵(Ga)、砷(As)、銦(In)、磷(P)、銅(Cu)、或硒(Se)中的一或多個。儘管本文描述了可以形成基板262的材料的一些實例,但是可以用作其上可構造被動及主動電子元件(例如,電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子元件或任何其他電子元件)的底座的任何材料落在本發明的精神及範疇內。
在一或多個實施例中,至少一個特徵270包括記憶體孔或字線狹縫。因此,在一或多個實施例中,基板260包括記憶體元件或邏輯元件,例如NAND、VNAND、DRAM等。
第3B圖根據本揭示的一或多個實施例示出了間隙填充製程的橫截面示意圖。在一或多個實施例中,在基板表面280以及至少一個特徵270的壁274、27及底部272上形成非晶碳膜290。如第3B圖所示,在一或多個實施例中,非晶碳膜290在至少一個特徵270的寬度W f內無接縫。
在一些實施例中,非晶碳膜290在至少一個特徵270上保形形成。如本文所用,術語「保形」或「保形地」,是指附著並均勻覆蓋暴露表面的層,其厚度相對於膜平均厚度的變化小於1%。例如,1000 Å厚的膜的厚度變化小於10 Å。此厚度及變化包括邊緣、角落、側面及凹槽底部。例如,在本發明的各種實施例中,藉由PECVD沉積的保形層將在複雜表面上的沉積區域上提供基本均勻厚度的覆蓋。
在一些實施例中,非晶碳膜290為連續膜。如本文所用,術語「連續」是指覆蓋整個暴露表面的層,無暴露沉積層下方的材料的間隙或裸露點。連續層可具有間隙或裸露點,其表面積小於膜總表面積的約1%。在一些實施例中,非晶碳膜290為無針孔膜。如本文所用,術語「無針孔」是指覆蓋整個暴露表面的層,此層沒有顯露沉積層下面的材料的間隙、裸點、孔、針孔等。無針孔層可以具有表面積小於膜總表面積約1%的孔或針孔。
參考第5圖,本揭示的一或多個實施例係關於沉積膜的方法500。在一些實施例中,方法500包括預處理操作506。預處理可為熟習此項技術者已知的任何合適的預處理。適當的預處理包括但不限於預熱、清潔、浸泡、去除自然氧化物或沉積黏合層(例如氮化鈦(TiN))。
在沉積510處,執行製程循環以在基板(或基板表面)上沉積膜。沉積製程可包括在基板上形成膜的一或多個操作。在操作512中,將烴源引入處理腔室。在操作514,將電漿引發氣體引入處理腔室。在操作516,在大於600℃的溫度下在處理腔室內產生電漿。
在操作518,在基板上形成非晶碳層。在操作520,淨化處理腔室。
在判定點522,考慮沉積膜的厚度或循環次數。若沉積膜已經達到預定厚度或者已經執行了預定數量的製程循環,則方法500移動到可選的後處理操作524。若沉積膜的厚度或製程循環的次數尚未達到預定閾值,則方法500返回到操作510以在操作512中再次引入烴源,並繼續。
可選的後處理操作524可為例如改質膜性質的製程(例如,退火)或其他膜沉積製程(例如,額外的ALD或CVD製程)以生長額外的膜。在一些實施例中,後處理操作524可為改質沉積膜的性質的製程。在一些實施例中,後處理操作524包括對膜進行退火。在一些實施例中,退火在約300℃、400℃、500℃、600℃、700℃、800℃、900℃或1000℃範圍內的溫度下進行。一些實施例的退火環境包括惰性氣體(例如,分子氮(N 2)、氬(Ar))或還原氣體(例如,分子氫(H 2)或氨(NH 3))或氧化劑,諸如但不限於氧(O 2)、臭氧(O 3)或過氧化物中的一或多種。退火可以進行任何合適的時間長度。在一些實施例中,將膜退火持續約15秒到約90分鐘的範圍內或在約1分鐘到約60分鐘的範圍內的預定時間。在一些實施例中,退火沉積態膜會增加密度、降低電阻率及/或增加膜的純度。
可以使用多種多處理平臺,包括CENTURA®、DUAL ACP、PRODUCER®GT、PRECISION®及ENDURA®平臺,這些平臺可從應用材料公司以及其他處理系統獲得。參考第4圖,群集工具300包括至少一個具有複數個側面的中央轉移站314。機器人316位於中央轉移站314內,並用以將機器人刀片及晶圓移動到複數個側面中的每一側。
大體上,群集工具為模組系統,包括多個腔室,執行各種功能,包括基板中心查找及定位、脫氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少第一腔室及中央轉移腔室。中央轉移腔室可以容納機器人,此機器人可以在處理腔室與裝載閘腔室之間運送基板。轉移腔室通常保持在真空狀態,並為將基板從一個腔室運送到另一個腔室及/或運送到位於群集工具前端的裝載閘腔室提供中間階段。然而,為了執行如本文描述的製程的特定步驟,可以改變腔室的具體佈置及組合。可使用的其他處理腔室包括但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理,諸如RTP、電漿氮化、脫氣、定向、羥基化及其他基板製程。藉由在群集工具上的腔室中執行製程,在沉積後續膜之前無需氧化即可避免基板表面被大氣雜質污染。
參考第4圖,群集工具300包括複數個處理腔308、310及312,也稱為製程站,連接到中央轉移站。各種處理腔室提供與相鄰製程站隔離的單獨處理區域。處理腔室可為任何合適的腔室,包括但不限於預清潔腔室、沉積腔室、退火腔室、蝕刻腔室、選擇性蝕刻腔室等。製程腔室及部件的特殊佈置可取決於群集工具而變化,且不應視為限制本揭示的範疇。
在一些實施例中,群集工具300包括用於選擇性蝕刻/修整半導體材料層224的各向同性蝕刻腔室。一些實施例的各向同性蝕刻腔室包括一或多個氟基乾式蝕刻腔室。在一些實施例中,群集工具300包括連接到中央轉移站的預清潔腔室。
在第4圖所示的實施例中,工廠介面318連接到群集工具300的前部。工廠介面318包括用於在工廠介面318的前部319上裝載及卸載的腔室302。
裝載腔室及卸載腔室302的大小及形狀可以取決於例如在群集工具300中處理的基板而變化。在所示的實施例中,裝載腔室及卸載腔室302經大小設定以容納晶圓盒,其中複數個晶圓位於盒內。
機器人304位於工廠介面318內,且可在裝載腔室及卸載腔室302之間移動。機器人304能夠透過工廠介面318將晶圓從裝載腔室302中的盒轉移到裝載閘腔室320。機器人304還能夠透過工廠介面318將晶圓從裝載閘腔室320轉移到卸載腔室302中的盒中。
一些實施例的機器人316為能夠一次獨立移動多個晶圓的多臂機器人。機械人316用以在傳送腔室314周圍的腔室之間移動晶圓。單個晶圓由位於第一機器人機構遠端的晶圓輸送葉片承載。
系統控制器357與機器人316以及複數個處理腔室308、310及312通信。系統控制器357可為可控制處理腔室及機器人的任何合適部件。例如,系統控制器357可為包括中央處理單元(central processing unit; CPU)392、記憶體394、輸入/輸出396、合適的電路398及儲存器的電腦。
製程大體可以作為軟體常式存儲在系統控制器357的記憶體中,此軟體常式在由處理器執行時使處理腔室執行本揭示的製程。軟體常式亦可由第二處理器(未示出)儲存及/或執行,此第二處理器位於由處理器控制的硬體的遠端。本揭示的部分或全部方法也可以在硬體中執行。因此,此製程可以在軟體中實現並使用電腦系統、在硬體中(例如,特定應用積體電路或其他類型的硬體實現)或作為軟體及硬體的組合來執行。當由處理器執行時,軟體常式將通用電腦轉換為專用電腦(控制器),此電腦控制腔室操作,從而執行製程。
在一些實施例中,系統控制器357具有控制非晶碳在基板上的沉積的構造。
在一或多個實施例中,處理工具包括:中央轉移站,包括用以移動晶圓的機器人;複數個製程站,每個製程站連接到中央轉移站並提供與相鄰製程站的處理區域分離的處理區域,此些製程站包括沉積腔室、電漿腔室、固化腔室、蝕刻腔室;以及連接到中央轉移站及此些製程站的控制器,此控制器用以啟動機器人以在製程站之間移動晶圓,並控制在每個製程站中發生的製程。
現在參考以下實例描述本發明。在描述本揭示案之若干示例性實施例之前,應理解,本揭示案不限於構造詳圖或在以下具體實施方式中闡述的製程步驟。本揭示案能夠具有其他實施例,並且能夠以各種方式實踐或進行。
實例
實例1
非晶碳層藉由以下步驟來沉積:以約2000 sccm的流速向處理腔室提供氬氣,以約300 sccm的流速向處理腔室提供C 2H 2,以約200 W施加高頻RF功率(13.56 MHz),保持約650℃的沉積溫度,保持約10托的腔室壓力,以約300密耳的間隙以產生1800nm/hr的沉積速率,並且觀察到達到約83%的緻密區域到約96%的開放區域臺階覆蓋率的保形性(保形性測量)。
實例2:比較
非晶碳層藉由以下步驟來沉積:以約100 sccm的流速向處理腔室提供氦,以約100 sccm的流速向處理腔室提供C 3H 6,以約100 sccm的流速向處理腔室提供NH 3,以約600 W施加高頻RF功率(13.56 MHz),保持約400℃的沉積溫度,保持約9托的腔室壓力,以約400密耳的間隙以產生30 nm/hr的沉積速率,並且觀察到達到約83%的緻密區域到約96%的開放區域臺階覆蓋率的保形性(保形性測量)。
空間相對用語,諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一(些)元件或(多個)特徵之關係。應理解,除圖形中描繪的取向外,空間相對術語意欲包含元件在使用或操作中的不同取向。例如,若圖式中的元件被翻轉,則被描述為「低於」或「在……下方」其他元素或特徵的元素將被定向為「高於」其他元素或特徵。因此,示例性術語「低於」可以包括高於及低於兩者的方向。元件可以其他方式定向(旋轉90度或在處於其他定向)且在此使用的空間相對的描述詞可因此同樣地解釋。
在描述本文所論述的材料及方法的上下文中(尤其在以下申請專利範圍的上下文中)使用術語「一」及「一」以及「該」及類似參考物應被解釋為包括單數及複數,除非本文中另有說明或與上下文明確矛盾。除非本文另有說明,否則本文中對數值範圍的敘述僅旨在作為單獨引用此範圍內的每個單獨數值的簡寫方法,並且每個單獨數值併入本說明書中,如同其在本文中單獨敘述一樣。除非本文另有說明或上下文另有明確矛盾,否則本文的所有方法均可按任何適當循序執行。使用本文提供的任何及所有實例或示例性語言(例如,「諸如」)僅旨在更好地說明材料及方法,並且不構成對範疇的限制,除非另有聲明。說明書中的任何語言都不應被解釋為表明任何未主張保護的元素對於所揭示的材料及方法的實踐至關重要。
在本說明書中對「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的引用意味著結合實施例描述的特定特徵、結構、材料或特性包括在本發明的至少一個實施例中。因此,在本說明書的各個地方出現諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的短語不一定指本發明的同一實施例。在一或多個實施例中,以任何合適的方式組合特定特徵、結構、材料或特性。
儘管已經參考特定實施例描述了本文揭示內容,但應理解,此等實施例僅為說明本揭示案之原理及應用。對於熟習此項技術者而言顯而易見地為,在不脫離本揭示案之精神及範疇的情況下,可對本揭示案之方法及設備進行各種修改及變化。因此,本揭示案意欲覆蓋此種修改及變化,只要它們落入所附申請專利範圍及其等同物之範圍內。
100:基板 110:特徵 112:底表面 114:第一側壁 116:第二側壁 120:基板表面 150:非晶碳膜 200:基板 210:特徵 212:底表面 214:第一側壁 216:第二側壁 220:上表面 250:非晶碳層 260:基板 262:半導體基板 264:氮化物材料 266:氧化物材料 270:特徵 272:底部 274:側壁 276:側壁 280:基板表面 290:非晶碳膜 300:處理腔室 302:腔室 304:機器人 308:處理腔室 310:處理腔室 312:處理腔室 314:中央轉移站 316:機械人 318:工廠介面 319:前部 320:裝載閘腔室 357:系統控制器 392:中央處理單元 394:記憶體 396:輸入/輸出 398:電路 500:方法 506:預處理操作 510:沉積 512:操作 514:操作 516:操作 518:操作 520:操作 522:判定點 524:後處理操作 D:深度 Df:深度 W:寬度 Wf:寬度
為了能夠詳細理解本揭示案之上述特徵的方式,可以透過參考實施例來獲得上文簡要概述的本揭示案之更具體的描述,其中一些實施例在附圖中示出。然而,應注意,附圖僅示出本揭示的典型實施例並因此不應視為對本揭示範圍的限制,因為本揭示可承認其他同等有效的實施方式。本文所述實施例以舉例方式說明且並不限於隨附的圖式中,其中類似標記表示類似元件。
第1A圖根據一或多個實施例示出了基板的橫截面視圖;
第1B圖根據一或多個實施例示出了基板的橫截面視圖;
第1C圖根據一或多個實施例示出了基板的橫截面視圖;
第2A圖根據一或多個實施例示出了基板的橫截面視圖;
第2B圖根據一或多個實施例示出了基板的橫截面視圖;
第3A圖根據一或多個實施例示出了基板的橫截面視圖;
第3B圖根據一或多個實施例示出了基板的橫截面視圖;
第4圖根據本揭示之一或多個實施例示出了群集工具;及
第5圖根據本揭示之一或多個實施例示出了一種處理方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
500:方法
506:預處理操作
510:沉積
512:操作
514:操作
516:操作
518:操作
520:操作
522:判定點
524:後處理操作

Claims (20)

  1. 一種形成一膜之方法,該方法包括以下步驟: 執行一沉積循環,包括以下步驟: 將一烴源引入一處理腔室; 將一電漿引發氣體引入該處理腔室; 在該處理腔室中在大於600℃的一溫度下產生一電漿; 以一大於200 nm/hr的沉積速率在一基板上形成一非晶碳層;以及 淨化該處理腔室。
  2. 如請求項1所述之方法,其中該烴源具有一大於1:2之碳氫原子比率。
  3. 如請求項1所述之方法,其中該電漿引發氣體選自氫、氦、氬及氮中的一或多種。
  4. 如請求項1所述之方法,進一步包含以下步驟:重複該沉積循環2至50次。
  5. 如請求項1所述之方法,其中該非晶碳層為一間隙填充層。
  6. 如請求項1所述之方法,其中該非晶碳層為一保形層。
  7. 如請求項2所述之方法,其中該烴源包含乙炔、乙烯基乙炔、苯、苯乙烯、甲苯、二甲苯、吡啶、苯乙酮、苯酚、呋喃、C 3H 2、C 5H 4、單氟苯、二氟苯、四氟苯及六氟苯中的一或多種。
  8. 如請求項1所述之方法,其中淨化該處理腔室的步驟包括以下步驟:將一淨化氣體流入該處理腔室。
  9. 如請求項8所述之方法,其中該淨化氣體包含一惰性氣體或一烴源氣體。
  10. 一種處理方法,包括以下步驟: 在一基板表面上形成一非晶碳膜,該基板表面上具有至少一個特徵,該至少一個特徵從該基板表面至一底表面延伸一特徵深度,該至少一個特徵具有由一第一側壁及一第二側壁限定的一寬度,其中該非晶碳膜沉積在該基板表面、該至少一個特徵的該第一側壁、該第二側壁及該底表面上。
  11. 如請求項10所述之方法,其中形成該非晶碳膜的步驟包含一電漿增強化學氣相沉積製程。
  12. 如請求項11所述之方法,其中該電漿增強化學氣相沉積製程在一大於600℃之溫度及一大於200 nm/hr之沉積速率下進行。
  13. 如請求項11所述之方法,其中該電漿增強化學氣相沉積製程包括以下步驟: 將一烴源引入一處理腔室; 將一電漿引發氣體引入該處理腔室;以及 在一大於600℃之溫度下在該處理腔室內產生一電漿。
  14. 如請求項13所述之方法,其中該烴源包含乙炔、乙烯基乙炔、苯、苯乙烯、甲苯、二甲苯、吡啶、苯乙酮、苯酚、呋喃、C 3H 2、C 5H 4、單氟苯、二氟苯、四氟苯及六氟苯中的一或多種。
  15. 如請求項13所述之方法,其中該電漿引發氣體選自氫、氦、氬及氮中的一或多種。
  16. 如請求項10所述之方法,其中該特徵具有一大於或等於約10:1之深寬比。
  17. 如請求項10所述之方法,其中該至少一個特徵的深度在約50 nm至約100 nm之一範圍內。
  18. 如請求項10所述之方法,其中該基板包括一氧化物材料及一氮化物材料的複數個交替層的一堆疊。
  19. 如請求項10所述之方法,其中該至少一個特徵包括一記憶體孔或一字線狹縫。
  20. 一種非暫時性電腦可讀取媒體,包括多個指令,當由一處理腔室的一控制器執行該些指令時,使該處理腔室執行以下操作: 執行一沉積循環,包括以下步驟: 將一烴源引入一處理腔室; 將一電漿引發氣體引入該處理腔室; 在一大於600℃的溫度下在該處理腔室內產生一電漿; 在一基板上形成一非晶碳層;以及 淨化該處理腔室。
TW110132604A 2020-09-08 2021-09-02 間隙填充的非晶碳 TW202225442A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/014,039 2020-09-08
US17/014,039 US20220076945A1 (en) 2020-09-08 2020-09-08 Amorphous carbon for gap fill

Publications (1)

Publication Number Publication Date
TW202225442A true TW202225442A (zh) 2022-07-01

Family

ID=80469277

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110132604A TW202225442A (zh) 2020-09-08 2021-09-02 間隙填充的非晶碳

Country Status (6)

Country Link
US (1) US20220076945A1 (zh)
JP (1) JP2023541836A (zh)
KR (1) KR20230098788A (zh)
CN (1) CN116457495A (zh)
TW (1) TW202225442A (zh)
WO (1) WO2022055732A1 (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6548173B2 (en) * 1998-04-20 2003-04-15 Argonne National Laboratory Method of produce ultra-low friction carbon films
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US7906817B1 (en) * 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
KR20110050422A (ko) * 2008-07-08 2011-05-13 쌘디스크 3디 엘엘씨 탄소계 저항률 스위칭 물질과 이를 형성하는 방법
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP2022519321A (ja) * 2019-02-11 2022-03-22 アプライド マテリアルズ インコーポレイテッド パルス状にしたrfプラズマを介した膜形成
KR20210010817A (ko) * 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법

Also Published As

Publication number Publication date
JP2023541836A (ja) 2023-10-04
KR20230098788A (ko) 2023-07-04
CN116457495A (zh) 2023-07-18
WO2022055732A1 (en) 2022-03-17
US20220076945A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
US11637037B2 (en) Method to create air gaps
KR102501364B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
US20210020432A1 (en) Method of forming topology-controlled amorphous carbon polymer film
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US20190081072A1 (en) Method of processing a substrate and a device manufactured by using the method
US6991959B2 (en) Method of manufacturing silicon carbide film
KR100920033B1 (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
TWI775839B (zh) 具有選擇性阻隔層的結構
WO2016153987A1 (en) Methods for etching via atomic layer deposition (ald) cycles
TWI819257B (zh) 具有可調整碳含量之碳氮化矽間隙填充
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
TW202225444A (zh) 基板處理方法及基板處理系統
TWI751326B (zh) 自對準通孔處理流程
TW202225442A (zh) 間隙填充的非晶碳
JP2024504165A (ja) パルス高周波無線周波数(hfrf)プラズマを使用した間隙充填処理
US10998223B2 (en) Method for processing target object
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
KR102678853B1 (ko) 피처리체를 처리하는 방법
TW202335080A (zh) 形成金屬氮化物膜之方法
KR20080013269A (ko) 반도체 소자 제조를 위한 박막형성방법