TWI751326B - 自對準通孔處理流程 - Google Patents

自對準通孔處理流程 Download PDF

Info

Publication number
TWI751326B
TWI751326B TW107113495A TW107113495A TWI751326B TW I751326 B TWI751326 B TW I751326B TW 107113495 A TW107113495 A TW 107113495A TW 107113495 A TW107113495 A TW 107113495A TW I751326 B TWI751326 B TW I751326B
Authority
TW
Taiwan
Prior art keywords
dielectric material
metal
forming
fill metal
substrate
Prior art date
Application number
TW107113495A
Other languages
English (en)
Other versions
TW201903966A (zh
Inventor
高拉夫 塔瑞加
吉鏞 李
普拉布蘭姆 茍帕爾拉賈
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW201903966A publication Critical patent/TW201903966A/zh
Application granted granted Critical
Publication of TWI751326B publication Critical patent/TWI751326B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

可以執行處理方法來形成可包括自對準通孔結構的半導體結構。該方法可包括以下步驟:在半導體基板上沉積第一介電材料。第一介電材料可以相對於填充金屬的暴露區域而選擇性沉積在第二介電材料上。該方法可進一步包括以下步驟:隨後在填充金屬上沉積蓋材料。蓋材料可以相對於第一介電材料的暴露區域而選擇性沉積於填充金屬上。

Description

自對準通孔處理流程
本技術係關於半導體系統、處理及裝備。更具體而言,本技術係關於用於在半導體裝置上選擇性蝕刻及選擇性沉積材料層的系統及方法。
可能藉由在基板表面上產生錯綜複雜圖案化的材料層的處理來製成積體電路。在基板上產生圖案化的材料需要用於移除暴露的材料的控制方法。化學蝕刻係用於多種目的,包括將光抗蝕劑中的圖案轉移到底下的層中、減薄層或已呈現於表面上的特徵的減薄橫向尺寸。通常期望具有蝕刻一種材料比另一種更快的蝕刻處理,以促進例如圖案轉移處理或單獨材料移除。據說這種蝕刻處理對於第一材料具有選擇性。由於材料、電路及處理的多樣性,已開發對多種材料具有選擇性的蝕刻處理。然而,通常使用毯覆塗層或保形填充而繼續跨越基板而執行沉積處理。
隨著裝置尺寸在下一代裝置中持續縮小,當形成於特定層中的材料只有幾奈米時,選擇性可以發揮更大的作用(特別是當材料為電晶體形成中的關鍵時)。各種材料之間已開發許多不同的蝕刻處理選擇性,但是標準選擇性可能不再適用於當前及未來的裝置規模。此外,基於形成及保護跨越裝置的特徵的各種關鍵尺寸所需的遮罩、形成及移除操作的數量,處理的佇列時間繼續增加,同時在基板上的其他處執行圖案化及形成。
因此,需要一種可用於生產高品質的裝置及結構改善的系統及方法。本技術解決了此等及其他需求。
可以執行處理方法來形成可包括自對準通孔結構的半導體結構。該方法可包括以下步驟:在半導體基板上沉積第一介電材料。第一介電材料可以相對於填充金屬的暴露區域而選擇性沉積在第二介電材料上。該方法可進一步包括以下步驟:隨後在填充金屬上沉積蓋材料。蓋材料可以相對於第一介電材料的暴露區域而選擇性沉積於填充金屬上。
在一些實施例中,填充金屬可以是或包括銅或鈷。第一介電材料可以是或包括碳氧化矽、氮化矽、氧化鎢或氧化鋁,而第二介電材料可以是或包括氧化矽。蓋材料可為金屬氮化物或金屬氧化物,或可以包括金屬氮化物或金屬氧化物。該方法可以在不進行反應性離子蝕刻操作的情況下執行。可以利用第二介電材料相對於填充金屬大於或約2:1的選擇性來執行第一介電材料沉積。可以利用填充金屬相對於第一介電材料大於或約2:1的選擇性來執行蓋材料沉積。在一些實施例中,該方法可以進一步包括以下步驟:在填充金屬的暴露區域上沉積第三介電材料。第三介電材料可以相對於第一介電材料與第二介電材料而選擇性沉積於填充金屬上。
本技術亦包括一種形成半導體結構的方法。該方法可以包括以下步驟:在處理腔室的遠端電漿區域中形成含氟前驅物的電漿。該方法可以包括以下步驟:使半導體基板與電漿的流出物接觸。半導體基板可以容納在處理腔室的處理區域中。該方法可以包括以下步驟:在半導體基板上的第一介電材料的暴露區域的一高度下方選擇性蝕刻填充金屬。該方法亦可以包括以下步驟:隨後在填充金屬上沉積蓋材料。蓋材料可以相對於第一介電材料的暴露區域而選擇性沉積於填充金屬上。
在實施例中,該方法亦可以包括以下步驟:沉積第二介電材料。第二介電材料可以相對於蓋材料與第一介電材料而選擇性沉積於填充金屬上。蓋材料可為與第二介電材料不同的材料,或包括與第二介電材料不同的材料。蓋材料可以選自含碳材料、含氮材料及含氧材料所組成的群組。第二介電材料可以選自含碳材料、含氮材料及含氧材料所組成的群組。在實施例中,第二介電材料可以與蓋材料不同。含氧材料可以是或包括氧化矽、氧化鎢或氧化鋁。蝕刻可以在第一處理腔室中執行,而沉積可以在第二處理腔室中執行。該方法可以進一步包括以下步驟:將半導體基板從第一處理腔室轉移到第二處理腔室。在實施例中,轉移可以在不破壞真空的情況下執行。可以利用填充金屬相對於第一介電材料大於或約10:1的選擇性來執行蝕刻。可以利用填充金屬相對於第一介電材料大於或約2:1的選擇性來執行沉積。
本技術亦包括一種形成半導體結構的方法。該方法可以包括以下步驟:在填充金屬上沉積第一金屬。第一金屬可以相對於第一介電材料的暴露區域而選擇性沉積於填充金屬上。該方法亦可以包括以下步驟:隨後在第一金屬上沉積蓋材料。蓋材料可以相對於第一介電材料的暴露區域而選擇性沉積於第一金屬上。在實施例中,該方法亦可以包括以下步驟:沉積第二介電材料。第二介電材料可以相對於第一介電材料而選擇性沉積於第一金屬上。
這樣的技術可以提供優於習知系統及技術的許多益處。舉例而言,處理可以藉由利用不包括反應性離子蝕刻的技術來保護關鍵尺寸,並提供改善的選擇性。此外,藉由執行選擇性操作,可以執行更少的遮罩及移除操作,這可以顯著減少製造佇列時間。結合以下描述及隨附圖式,更詳細地描述此等及其他實施例以及其許多優點及特徵。
本發明的技術包括用於小節距特徵的半導體處理的系統及部件。在傳統自對準形成處理中,可以在具有待維護的相似或不同材料的結構旁邊形成並蝕刻基板上的材料。舉例而言,因為蓋層與間隔物可以由類似的材料形成(例如,氮化矽),所以用於移除此等層的蝕刻處理可能無法提供相對於其他關鍵特徵的顯著選擇性。在各種打開處理期間,多個臨界尺寸的大小可能造成負載效應,而蝕刻超過材料的預計可用性。舉例而言,傳統處理可以包括遮罩層,隨後是允許間隙填充層的結構的開口的反應性離子蝕刻(「RIE」)處理。儘管RIE蝕刻係為相對各向異性處理,但是RIE蝕刻仍可能具有造成側壁損失的選擇性。儘管可能考慮對於形成期間的此損失進行預算(例如,利用材料的過度形成),但是因為所蝕刻的結構內的區域具有不同的尺寸,因此針對一個區域的損失量的計算可能並不適合針對更大區域的損失量。因此,儘管在預算的一個區段中可能出現5nm的損失,但是仍可能出現6-7nm的較大區段的損失,而造成製造期間的不匹配。
此外,RIE處理產生蝕刻副產物或聚合物殘留物(通常利用濕式蝕刻處理移除)。此濕式蝕刻經常將側壁保護層過度蝕刻而超過臨界尺寸(這會造成相鄰電晶體層的形成及間隔問題),並進一步蝕刻低k氮化物間隔物以及層間介電氧化物。此外,通常利用各向異性蝕刻進行金屬材料與介電質的移除,除非形成附加遮罩或保護層,否則可能進一步減少其他區域中的蓋材料與間隔物材料的暴露區域。由於這種RIE移除的選擇性可能在10:1的範圍內,因此所需的遮罩量可能很大。
在利用跨越半導體基板上的所有暴露區域的材料的毯覆塗層或材料的共形發展的習知技術中,可以進行遮罩材料與其他材料層二者的沉積。此等類型的沉積可能需要進一步的圖案化與移除操作,這會顯著增加裝置製造的佇列時間。在RIE移除的附加操作及缺陷與習知沉積中使用的多種操作之間,單獨裝置層的佇列時間可能增加幾小時。此外,為了存取具有互連結構層的填充金屬的通孔,並維持每一層內的特定結構線,可能需要附加的圖案化與微影。當未充分執行時,隨後的通孔形成可能並未與底下的通孔對準,並可能造成與其他金屬層的短路。
本技術藉由修改用於移除及形成的處理而克服此等問題。藉由利用在特定裝備中執行選擇性蝕刻處理,可以使用該等處理,以利用比習知RIE更高的選擇性來蝕刻,這可允許先前可能無法實現的附加圖案化操作,並且可以為關鍵特徵尺寸提供額外的保護。此外,藉由在特定裝備中執行選擇性沉積操作,可以在結構形成中利用經減少的遮罩、圖案化及移除。此等處理可以讓特定遮罩用於保護某些金屬線,並暴露通孔及在單獨的裝置層之間形成平台。此外,藉由利用替代蝕刻來移除許多圖案化操作,相較於利用RIE與標準沉積的習知處理,此等處理可以節省數小時。
儘管其餘的揭示將常規地識別利用所揭示的技術的特定的蝕刻及沉積處理,但應理解,系統及方法同樣適用於所描述的腔室中可能發生的各種其他的蝕刻、沉積及清潔處理。因此,該技術不應視為受限於僅能用於所述的蝕刻及沉積處理。本揭示將論述可以與本技術一起使用的一個可能的系統及腔室,以在根據本技術的示例性處理序列的所描述操作之前執行某些移除及沉積操作。
第1圖圖示根據實施例的沉積、蝕刻、烘焙及固化腔室的處理系統100的一個實施例的頂視平面圖。在圖式中,一對前開式晶圓盒(FOUP)102供應各種尺寸的基板,各種尺寸的基板係由機器臂104接收,並在放置到位於串聯區段109a-c中的基板處理腔室108a-f中之一者之前,放置到低壓托持區域106中。第二機器臂110可用於將基板晶圓從托持區域106運輸到基板處理腔室108a-f並返回。除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濕式蝕刻、預清潔、脫氣、定向及其他基板處理之外,可以配備每一基板處理腔室108a-f,以執行包括本文所述的乾式蝕刻處理及選擇性沉積的大量基板處理操作。
基板處理腔室108a-f可包括用於沉積、退火、固化及/或蝕刻基板晶圓上的介電膜的一或更多個系統部件。在一個配置中,可以使用兩對處理腔室(例如,108c-d與108e-f),以在基板上沉積介電材料或含金屬材料,而第三對處理腔室(例如108a-b)可以用於蝕刻所沉積的介電質。在另一配置中,所有三對腔室(例如,108a-f)可經配置以蝕刻基板上的介電膜。可以在與不同實施例中所示的製造系統分離的腔室中執行所述的任何一或更多個處理。
在一些實施例中,腔室具體包括如下所述的至少一個蝕刻腔室以及如下所述的至少一個沉積腔室。藉由包括此等腔室並組合工廠介面的處理側,可以在受控環境中執行以下所述的所有蝕刻及沉積處理。舉例而言,在托持區域106的處理側可以維持真空環境,而使得在實施例中的所有腔室及轉移均維持在真空下。此舉亦可限制水蒸氣及其他空氣成分接觸處理中的基板。應理解,系統100可以考慮用於介電膜的沉積、蝕刻、退火及固化腔室的附加配置。
第2A圖圖示在處理腔室內具有分隔的電漿產生區域的示例性處理腔室系統200的橫截面圖。在膜蝕刻期間(例如,氮化鈦、氮化鉭、鎢、鈷、氧化鋁、氧化鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等),處理氣體可以通過氣體入口組件205流入第一電漿區域215。遠端電漿系統(RPS)201可以可選擇地包括在系統中,並且可以處理隨後行進通過氣體入口組件205的第一氣體。入口組件205可以包括二或更多個不同的氣體供應通道,其中若包括第二通道(未圖示),則第二通道可以繞過RPS 201。
圖示冷卻板203、面板217、離子消除器223、噴淋頭225及具有基板255設置其上的基板支撐件265,且每一者可以根據實施例而被包括。台座265可以具有熱交換通道,熱交換流體流經熱交換通道以控制基板的溫度,可在處理操作期間操作基板的溫度,以加熱及/或冷卻基板或晶圓。亦可以使用嵌入式電阻加熱器元件而電阻加熱可以包含鋁、陶瓷或其組合的台座265的晶圓支撐盤,以實現相對高的溫度,例如從高達或約100℃至高於或約1100℃。
面板217可以是金字塔形、圓錐形或具有窄的頂部部分擴展到寬的底部部分的其他類似結構。如圖所示,附加地,面板217可以是平坦的,並包括用於分配處理氣體的複數個貫通通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物質可以穿過面板217中如第2B圖所示的複數個孔洞,以更均勻地遞送到第一電漿區域215中。
示例性配置可以包括氣體入口組件205通入由面板217從第一電漿區域215分隔的氣體供應區域258,而使得氣體/物質流經面板217中的孔洞而進入第一電漿區域215。可以選擇結構及操作特徵,以防止來自第一電漿區域215的電漿大量回流到供應區域258、氣體入口組件205及流體供應系統210中。位於特徵之間的絕緣環220與面板217或者腔室的導電頂部部分以及噴淋頭225一起示出,以允許相對於噴淋頭225及/或離子消除器223而將AC電位施加到面板217。絕緣環220可以定位於面板217與噴淋頭225及/或離子消除器223之間,以讓電容耦合電漿(CCP)能夠在第一電漿區域中形成。附加地,擋板(未圖示)可以位於第一電漿區域215中,或者另外與氣體入口組件205耦接,以影響流體通過氣體入口組件205進入區域的流動。
離子消除器223可以包含定義貫穿結構的複數個孔隙的板狀或其他幾何形狀,複數個孔隙經配置以消除離開第一電漿區域215的離子帶電物質的遷移,同時允許不帶電荷的中性或自由基物質穿過離子消除器223進入消除器與噴淋頭之間的活性氣體遞送區域。在實施例中,離子消除器223可以包含具有各種孔隙配置的多孔板。此等不帶電荷的物質可以包括利用較少的反應性氣體載體運輸通過孔隙的高反應性物質。如上所述,離子物質通過孔洞的遷移可能減少,並在一些情況下完全消除。控制穿過離子消除器223的離子物質的量可以有利地提供增加對於與底下的晶圓基板接觸的氣體混合物的控制,這又可以增加對氣體混合物的沉積及/或蝕刻特性的控制。舉例而言,氣體混合物的離子濃度的調整可以顯著改變其蝕刻選擇性,例如,SiNx:SiOx蝕刻率、Si:SiOx蝕刻率等。在執行沉積的可替代實施例中,亦可以平移介電材料的共形流動式沉積的平衡。
離子消除器223中的複數個孔隙可經配置以控制活性氣體(亦即,離子、自由基及/或中性物質)通過離子消除器223的通路。舉例而言,可以控制孔洞的高寬比,或孔洞直徑對長度,及/或孔洞的幾何形狀,而使得穿過離子消除器223的活性氣體中的離子帶電物質的流動減少。離子消除器223中的孔洞可以包括面對電漿激發區域215的錐形部分以及面對噴淋頭225的圓柱形部分。圓柱形部分可以成形及定尺寸,以控制傳到噴淋頭225的離子物質的流動。作為控制離子物質通過消除器的流動的附加手段,亦可以將可調整的電偏壓施加到離子消除器223。
離子消除器223可以用於減少或消除從電漿產生區域行進到基板的離子帶電物質的量。不帶電的中性及自由基物質仍然可以穿過離子消除器中的開口而與基板反應。應注意,在實施例中,可以不執行在環繞基板的反應區域中的離子帶電物質的完全消除。在某些情況下,離子物質意欲到達基板,以執行蝕刻及/或沉積處理。在此等情況下,離子消除器可以幫助將反應區域中的離子物質濃度控制在有助於處理的層級處。
與離子消除器223組合的噴淋頭225可以允許存在於第一電漿區域215的電漿,以避免在基板處理區域233中直接激發氣體,同時仍允許激發物質從腔室電漿區域215行進到基板處理區域233。以此方式,腔室可經配置以防止電漿接觸蝕刻中的基板255。此舉可以有利地保護基板上圖案化的各種複雜結構及膜,若直接與所產生的電漿接觸,則各種複雜結構及膜可能損傷、移位或以其他方式彎曲。此外,當允許電漿接觸基板或接近基板層級時,可能增加氧化物物質蝕刻的速率。因此,若材料的暴露區域為氧化物,則可以藉由遠端於基板維持電漿來進一步保護此材料。
處理系統可以進一步包括與處理腔室電耦接的功率供應器240,以提供電功率到面板217、離子消除器223、噴淋頭225及/或台座265,以在第一電漿區域215或處理區域233中產生電漿。取決於所執行的處理,功率供應器可經配置以向腔室遞送可調整量的功率。這種配置可以允許可調諧電漿用於執行中的處理。與通常呈現為具有開啟或關閉功能的遠端電漿單元不同,可調諧電漿可經配置以向電漿區域215遞送特定量的功率。此舉又可以允許形成特定的電漿特性,而使得前驅物可以利用特定方式解離,以增強由此等前驅物產生的蝕刻輪廓。
可以在噴淋頭225上方的腔室電漿區域215或噴淋頭225下方的基板處理區域233中激發電漿。在實施例中,形成於基板處理區域233中的電漿可以是利用作為電極的台座形成的DC偏壓電漿。電漿可以存在於腔室電漿區域215中,以從例如含氟前驅物或其他前驅物的流入產生自由基前驅物。典型地,在射頻(RF)範圍中的AC電壓可以施加於處理腔室的導電頂部部分(例如,面板217)與噴淋頭225及/或離子消除器223之間,以在沉積期間激發腔室電漿區域215中的電漿。RF功率供應器可以產生13.56MHz的高RF頻率,但亦可以單獨產生其他頻率或與13.56MHz頻率組合產生其他頻率。
第2B圖圖示影響通過面板217的處理氣體分佈的特徵的詳細視圖253。如第2A圖及第2B圖所示,面板217、冷卻板203及氣體入口組件205相交,以定義氣體供應區域258,其中處理氣體可以從氣體入口205遞送進入氣體供應區域258。氣體可以填充氣體供應區域258,並通過面板217中的孔隙259流到第一電漿區域215。孔隙259可經配置以實質上單向的方式引導流動,而使得處理氣體可以流入處理區域233中,但是在穿過面板217之後可以被部分或完全防止回流到氣體供應區域258中。
氣體分配組件(例如,用於處理腔室區段200的噴淋頭225)可以指稱為雙通道噴淋頭(DCSH),並附加地在第3圖所述的實施例中詳細說明。雙通道噴淋頭可以提供蝕刻處理,以允許在處理區域233之外分離蝕刻劑,以在遞送到處理區域之前提供與腔室部件及彼此間的受限的相互作用。
噴淋頭225可以包含上板214及下板216。此等板可以彼此耦接,以定義此等板之間的容積218。板的耦接可以提供通過上及下板的第一流體通道219以及通過下板216的第二流體通道221。所形成的通道可經配置以提供從容積218單獨經由第二流體通道221通過下板216的流體出入口,而第一流體通道219可以流體隔離於板與第二流體通道221之間的容積218。容積218可以通過氣體分配組件225的一側流體出入。
第3圖係為根據實施例的與處理腔室一起使用的噴淋頭325的底視圖。噴淋頭325可以對應於第2A圖所示的噴淋頭225。通孔365(圖示第一流體通道219的視圖)可以具有複數種形狀及配置,以控制及影響前驅物通過噴淋頭225的流動。小孔洞375(圖示第二流體通道221的視圖)可以實質均勻地分佈在噴淋頭的表面上(即使在通孔365中),並且可以有助於前驅物在離開噴淋頭時提供比其他配置更均勻的混合。
轉到第4圖,圖示根據本技術的一或更多個實施例的原子層沉積系統400或反應器的示意性橫截面圖。系統400可以包括裝載閘腔室10與處理腔室20。處理腔室20通常可以是可密封的外殼,而可以在真空或至少低壓下操作。處理腔室20可以藉由隔離閥15與裝載閘腔室10隔離。隔離閥15可以將處理腔室20與裝載閘腔室10密封於關閉位置,並可允許在打開位置時將基板60從裝載閘腔室10通過閥轉移至處理腔室20,反之亦然。
系統400可包括氣體分配板30,氣體分配板30能夠跨越基板60分配一或更多種氣體。氣體分配板30可以是該領域具有通常知識者已知的任何合適的分配板,且所述之特定氣體分配板不應視為限制本技術之範疇。氣體分配板30之輸出面可以面向基板60的第一表面61。
氣體分配板30可以包括複數個氣體埠與複數個真空埠,複數個氣體埠經配置以傳送一或更多個氣體流到基板60,而複數個真空埠係設置於每一氣體埠之間,並經配置以傳送氣體流到處理腔室20之外。如第4圖所示,氣體分配板30可以包括第一前驅物注射器420、第二前驅物注射器430及吹掃氣體注射器440。注射器420、430、440可藉由系統電腦(未圖示)(例如,主機)控制,或藉由腔室特定控制器(例如,可程式化邏輯控制器)控制。前驅物注射器420可經配置以將化合物A的反應性前驅物之連續或脈衝流注射通過複數個氣體埠425進入處理腔室20。前驅物注射器430可經配置以將化合物B的反應性前驅物之連續或脈衝流注射通過複數個氣體埠435進入處理腔室20。吹掃氣體注射器440可經配置以將無反應性或吹掃氣體之連續或脈衝流注射通過複數個氣體埠445進入處理腔室20。吹掃氣體可經配置以從處理腔室20移除反應性材料及反應性副產物。吹掃氣體典型係為惰性氣體,例如,氮氣、氬氣及氦氣。氣體埠445可設置於氣體埠425及氣體埠435之間,以從化合物B之前驅 物分離化合物A之前驅物,藉此避免前驅物之間的交叉污染。
在另一態樣中,在將前驅物注射進入處理腔室20之前,遠端電漿源(未圖示)可連接至前驅物注射器420及前驅物注射器430。可以藉由將電場施加到遠端電漿源內的化合物來產生反應性物質之電漿。可以使用能夠活化所意欲化合物的任何功率源。舉例而言,使用DC、射頻及微波型放電技術的功率源可以使用。若使用RF功率源,則可以電容性或電感性耦接。亦可以藉由熱基礎技術、氣體解離技術、高強度光源(例如,紫外光源)或暴露於x射線源來產生活化。
系統400可以進一步包括連接至處理腔室20的泵送系統450。泵送系統450大致上可經配置以通過一或更多個真空埠455將氣體流抽空到處理腔室20之外。真空埠455可設置於每一氣體埠之間,以在氣體流與基板表面反應之後將氣體流抽空到處理腔室20之外,並進一步限制前驅物之間的交叉污染。
系統400可包括設置於處理腔室20上並在每一埠之間的複數個分區460。每一分區的下部可以延伸靠近基板60的第一表面61(例如,距離第一表面61約0.5mm或更多)。以此方式,分區460的下部可以從基板表面分離一距離,該距離足以允許氣體流在氣體流與基板表面反應之後,流動環繞下部而朝向真空埠455。箭頭498指示氣體流的方向。由於分區460可操作而作為對於氣體流的實體阻隔,所以分區460亦可限制前驅物之間的交叉污染。所示之配置僅為說明性,且不應視為限制本技術之範疇。該領域具有通常知識者將理解,所示之氣體分配系統僅為一種可能的分配系統,並且可以採用其他類型的噴淋頭。
在操作中,可以將基板60(例如,藉由機器人)遞送到裝載閘腔室10,並可放置於梭子65上。在隔離閥15打開之後,梭子65可以沿著軌道70移動。一旦梭子65進入處理腔室20,隔離閥15可以關閉,以將處理腔室20密封。隨後,梭子65可以移動通過處理腔室20,以進行處理。在一個實施例中,梭子65可以在線性路徑中移動通過腔室。
隨著基板60移動通過處理腔室20,基板60的第一表面61可以重複暴露到來自氣體埠425的化合物A的前驅物及來自氣體埠435的化合物B的前驅物,其間具有來自氣體埠445的吹掃氣體。吹掃氣體的注入可經設計以在將基板表面61暴露至下一個前驅物之前,移除來自先前前驅物的未反應材料。在對各種氣體流的每一暴露之後,氣體流可以藉由泵送系統450通過真空埠455抽空。由於在每一氣體埠的兩側可以設置真空埠,所以氣體流可以通過在兩側的真空埠455抽空。因此,氣體流可以從個別氣體埠垂直向下流動朝向基板60的第一表面61,跨越第一表面410且環繞分區460之下部,而最後向上朝向真空埠455。以此方式,每一氣體可以均勻地分佈跨越基板表面61。亦可在暴露至各種氣體流時旋轉基板60。基板的旋轉可以對於防止在所形成的層中形成條帶是有用的。基板的旋轉可以是連續或是分開的步驟。
可以藉由例如從氣體埠出來的每一氣體的流動速率及基板60的移動速率來決定基板表面61暴露至每一氣體的程度。在一個實施例中,每一氣體的流動速率可經配置,而不會從基板表面61移除所吸收的前驅物。每一分區之間的寬度、設置於處理腔室20上的氣體埠之數量及基板可能來回傳遞的次數亦可決定基板表面61暴露至各種氣體的程度。因此,沉積膜的數量與品質可藉由變化上述因子來最佳化。
在另一實施例中,系統400可以包括前驅物注入器420與前驅物注入器430,而沒有吹掃氣體注入器440。因此,隨著基板60移動通過處理腔室20,基板表面61可以交替地暴露於化合物A的前驅物與化合物B的前驅物,而不會暴露於其間的吹掃氣體。
第4圖所示的實施例具有在基板上方的氣體分配板30。儘管已經針對此直立定向描述及圖示實施例,但應理解,相反的定向亦是可能的。在彼情況下,基板60的第一表面61可以面朝下,而朝向基板流動的氣體可以引導朝上。在一或更多個實施例中,至少一個輻射熱源90可以定位成加熱基板的第二側。
在一些實施例中,梭子65可以是用於承載基板60的基座66。通常,基座66可以是有助於跨越基板形成均勻溫度的載體。基座66可以相對於第4圖的佈置在裝載閘腔室10與處理腔室20之間在左到右及右到左的兩個方向上移動。基座66可以具有用於承載基板60的頂表面67。基座66可以是經加熱的基座,而使得基板60可以加熱以用於處理。作為實例,可以藉由設置在基座66下方的輻射熱源90、加熱板、電阻線圈或其他加熱裝置來加熱基座66。儘管圖示為橫向轉換,但系統400的實施例亦可用於旋轉式系統,其中輪狀物可以順時針或逆時針旋轉,以連續加工位於所示氣體分配系統下方的一或更多個基板。應類似地理解,附加修改係包括在本技術中。
第5圖圖示形成半導體結構的方法500,其中許多操作可以執行於例如前述腔室200及400中。方法500可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔或可以在所述操作之前執行的任何其他操作。該方法可以包括圖式中所示的多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由替代方法來執行,這將在下文進一步論述。方法500描述第6A圖至第6E圖中示意性圖示的操作,將結合方法500的操作而描述其說明。應理解,第6圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。
方法500可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括進一步發展以產生自對準通孔結構的區域的基板上。如第6A圖所示,圖示包括蝕刻停止層605、間層介電質610及填充金屬615的經處理的基板600的一部分。填充金屬615可以包括線615b與615c,線615b與615c可以是單一層內的金屬互連。填充金屬亦可以包括可以在基板上的結構層之間延伸的矽通孔中的材料(例如,填充金屬615a)。此等材料可能已經在先前操作中形成,並且可能已經拋光到特定高度,而暴露基板的頂表面上的填充金屬615與間層介電質610。可以執行方法500的操作,以限制或消除遮罩操作,限制或消除包括灰化及清潔的RIE處理,以及可以減少在自對準通孔結構的生產期間提供蓋材料的處理佇列時間。處理亦可以對填充金屬615b與填充金屬615c提供額外的保護。
方法500最初可以包括如第6A圖所示的使填充金屬615凹陷之步驟。可以在類似於先前描述的腔室200的蝕刻腔室中使填充金屬615凹陷。一旦定位於半導體處理腔室的處理區域內,該方法可以包括在操作505處形成處理腔室的遠端電漿區域中的含氟前驅物的電漿。遠端電漿區域可以與處理區域流體耦合,但是可以實體分隔,以將電漿限制在基板層級處,這可能損傷暴露的結構或材料。電漿的流出物可以流入處理區域,而可以在操作510處與半導體基板接觸。在操作515處,可以在間層介電質610的暴露區域的一高度下方選擇性蝕刻填充金屬。
在可選擇的操作520處,可以將基板從蝕刻腔室轉移到沉積腔室。轉移可以在真空下進行,而兩個腔室可以都駐留在相同集群工具上,以允許轉移發生在受控環境中。舉例而言,可以在轉移期間維持真空條件,並且可以在不破壞真空的情況下進行轉移。一旦在沉積腔室中(例如,上述腔室400),則在操作525處,可以在凹陷的填充金屬615上形成或沉積蓋材料。如第6B圖所示,蓋材料620可以直接形成在凹陷的填充金屬615上或與凹陷的填充金屬615接觸。沉積操作可以是選擇性沉積,其中蓋材料相對於暴露的間層介電質610較佳地形成在填充金屬615上。相對於可以包括附加遮罩操作的習知技術,操作525可以直接執行後續蝕刻操作515。
儘管可以進行基板的轉移,但是在選擇性蝕刻與選擇性沉積之間可以不執行其他基板處理。如將在下文進一步詳細解釋,儘管在實施例中可以執行操作之間的基板轉移,而選擇性沉積可以包括多個操作,但是可以直接在一組蝕刻操作之後執行整個沉積處理。由於毯覆沉積或蓋材料620的形成可能需要額外的遮罩及移除技術,藉由根據方法500執行選擇性蝕刻及選擇性沉積,佇列時間可以比習知技術顯著降低。方法500可以不利用任何RIE操作,這可減少聚合物堆積以及與RIE相關聯的必要的灰化及清潔操作。此外,如下文進一步解釋,可以利用比RIE更高或高得多的選擇性執行蝕刻,而可以減少閘極間隔物上的臨界尺寸損失,並且可以減少或消除閘極間隔物與接觸介電質的遮罩。
如第6C圖所示,在蓋材料620的沉積之後,可以執行可選擇的操作530,以從並未延伸通過結構的層的金屬線(例如,填充金屬615b與615c)選擇性移除蓋材料620。此操作可以包括微影操作,以覆蓋線615a以及跨越基板的其他互連材料,同時留下暴露的其他蓋材料。一旦已執行此操作,則可以從基板選擇性移除仍然暴露的蓋材料620,並且從間層介電質610移除最少材料或沒有移除材料。隨後,操作530可以暴露填充金屬615b與615c,填充金屬615b與615c可以是結構的層內的金屬線。
方法500亦可以包括以下步驟:在暴露的填充金屬615b及615c上沉積附加的介電材料。如第6D圖所示,隨後可以在操作535處將第二介電材料625沉積在暴露的填充金屬615上。操作535亦可以涉及選擇性沉積,其中第二介電材料625較佳地沉積於暴露的填充金屬615b及615c上,並在包括間層介電質610與蓋材料620的暴露部分的介電材料上限制成並未沉積。隨後,可以在操作540中形成接觸平台630。該操作可以包括移除剩餘的蓋材料620。依據先前的操作,蓋材料620可以僅保持為覆蓋填充金屬615a,填充金屬615a可以是延伸於結構的層之間的互連金屬。蓋材料620的移除可以暴露可能延伸到平台630的底部填充金屬。移除蓋材料620可能涉及蓋材料620相對於第二介電材料625與間層介電質610的選擇性蝕刻,或者可能涉及附加的微影移除。此外,填充金屬615延伸到接觸平台630可以包括金屬材料的選擇性沉積。因為填充金屬615b與615c可能不會由於第二介電材料625而暴露,所以金屬可以相對於介電材料而選擇性沉積在金屬上。也可以執行包括電鍍及其他金屬填充沉積的附加沉積。
可以在處理中利用各種材料,而蝕刻及沉積可以對於多個部件具有選擇性。因此,本技術可以不限於單組材料。舉例而言,填充金屬615可以是在半導體處理中使用的幾種導電物質。填充金屬615可以是或可以包括銅、鈷或任何其他可作為填充金屬或互連金屬的導電金屬。間層介電質610可以是或包括氧化矽,但是亦可以使用其他絕緣材料。蓋材料620可以包括絕緣材料,並且可以包括含矽材料、含氮材料、含氧材料、含碳材料或此等材料的一些組合(例如,氮化矽、碳氧化矽、氧化鎢、氧化鋁或其他材料)。第二介電材料625亦可以包括絕緣材料,並且亦可以包括含矽材料、含氮材料、含氧材料、含碳材料或此等材料的一些組合(例如,氮化矽、碳氧化矽、氧化鎢、氧化鋁或其他材料)。
由於可以在第二介電材料625的選擇性沉積期間暴露蓋材料620,所以蓋材料620可以是與實施例中的第二介電材料不同的材料,但是在附加實施例中,這兩種材料可以類似。儘管是不同的材料,但蓋材料620與第二介電材料625可以是從包括含碳材料、含氮材料及含氧材料的材料群組中選擇的一或更多種材料,並且可以是上述任何材料。然而,蓋材料620可以是與用於第二介電材料625的材料不同的材料。
填充金屬蝕刻操作可以涉及與特定含氟前驅物一起的附加前驅物。在一些實施例中,可以使用三氟化氮來產生電漿流出物。亦可以利用附加或可替代的含氟前驅物。舉例而言,含氟前驅物可以流入遠端電漿區域,而含氟前驅物可以包括選自原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、六氟化硫及二氟化氙的群組的至少一個前驅物。遠端電漿區域可以在與處理腔室不同的模組內或在處理腔室內的隔間內。如第2圖所示,RPS單元201與第一電漿區域215二者可以作為遠端電漿區域。RPS可以允許電漿流出物解離而不會損傷其他腔室部件,而第一電漿區域215可以提供到基板的較短路徑長度,在此期間可能發生重組。
附加前驅物亦可以遞送到遠端電漿區域,以增強含氟前驅物。舉例而言,含碳及氫的前驅物或氫前驅物可以與含氟前驅物一起遞送。舉例而言,附加前驅物亦可以是含氟前驅物(例如,氟甲烷)。可以包括含氫或含碳及氫的前驅物,以維持用於電漿流出物的特定H:F原子比。在實施例中,可以利用大於1的H:F比執行蝕刻,這可以提供相對於上述介電材料的對於鎢或其他金屬的增加的選擇性。在實施例中,H:F原子流量比可以維持為大於2:1或大於3:1,這可以藉由調整含氟前驅物與含氫前驅物的相對流率來控制。
蓋材料620的選擇性蝕刻可以相對於間層介電質610而執行,並且可以使用來自用於操作515處的填充金屬615的選擇性蝕刻的類似或不同的前驅物。舉例而言,儘管材料可以是如前所述的任何材料,但在實施例中,蓋材料620可以是氮化矽或者包括氮化矽,而間層介電質610可以是氧化矽或包括氧化矽。相對於氧化矽的氮化矽的選擇性蝕刻可以如前所述利用含氟前驅物,並且亦可以包括含氧前驅物。含氧前驅物可以與含氟化物前驅物一起遞送到遠端電漿區域,或者含氧前驅物可以繞過遠端電漿區域,而直接遞送到處理區域中。在一些實施例中,蓋材料620蝕刻操作在蝕刻期間可以不包括含氫前驅物,並且可以在無氫的環境下執行。
在實施例中,蝕刻操作可以在低於約10Torr的情況下執行,以及在實施例中可以在低於或約5Torr的情況下執行。在實施例中,處理亦可以在低於約100℃的溫度下執行,並且可以在低於約50℃的情況下執行。隨著在腔室200或此腔室的變化中執行,或者在能夠執行類似操作的不同腔室中執行,處理可以對於間層介電質610具有選擇性而移除填充金屬615的部分。操作亦可以移除對於間層介電質610具有選擇性的蓋材料620的部分。
當執行本方法時,相對於暴露於基板的表面上的其他部件的填充金屬(例如,銅、鈷、鎢或其他金屬)的蝕刻選擇性可以是大於或約10:1、大於或約20:1、大於或約50:1,或是大於或約100:1,或更大,以用於形成於基板上的各種材料,並可以暴露於電漿流出物。在所揭示的實施例中,相對於(多)矽的填充金屬的蝕刻選擇性可以大於或約100:1、大於或約150:1、大於或約200:1,或是大於或約為250:1。在實施例中,相對於氧化矽的填充金屬的蝕刻選擇性可以大於或約15:1、大於或約25:1、大於或約30:1,或是大於或約為40:1。在實施例中,相對於碳氧化矽的填充金屬的蝕刻選擇性可以大於或約10:1、大於或約20:1、大於或約30:1,或是大於或約為40:1。在實施例中,相對於其他氧化物的填充金屬的蝕刻選擇性可以大於或約10:1、大於或約20:1、大於或約50:1,或是大於或約為100:1。
因此,取決於特徵尺寸,可以從基板的表面移除填充金屬,同時其他暴露材料可以減少小於1nm。舉例而言,填充金屬線之間的特徵寬度可以小於或約為100nm,並且可以小於約10nm與約30nm之間。在實施例中,用於填充金屬615的凹陷的深度可以小於或約50nm,並且可以小於或約40nm、小於或約30nm、小於或約20nm、小於或約10nm,或更少。用於選擇性沉積操作的填充量可以達到此等範圍中的任意者內的高度。由於此蝕刻深度,可以移除最小量的間層介電質,可以小於或約3nm、小於或約1nm、小於或約0.5nm,或者材料可以實質上或基本上維持不變。因此,相對於任何暴露介電材料的填充金屬蝕刻的特徵可以是用於每一結構的材料的上述選擇性中之任意者。
可以在能夠沉積且能夠原子層沉積的腔室(包括上述的腔室400)中執行選擇性沉積。沉積可以預設為在相對於另一絕緣材料的金屬材料上選擇性沉積絕緣材料。舉例而言,蓋材料620可以實質上形成於填充金屬615上,同時最少地形成於間層介電質610或受限於間層介電質610。可以藉由多種操作來執行選擇性沉積,可以包括形成自組裝單層以促進選擇性沉積,或者可以包括主動抑制在其他介電材料上形成介電質。
可以在結構的區域上形成自組裝單層,以調諧沉積。舉例而言,可以在結構上形成第一自組裝單層,隨後將其暴露於微影遮罩,以從填充金屬615移除單層。單層可以維持在間層介電質610上。單層可以具有可能排斥或無法與後來遞送的前驅物相互作用的封端部分。舉例而言,在實施例中,封端部分可以是疏水性,並且可以利用含氫部分(例如,甲基)封端,含氫部分可以不與附加前驅物相互作用。第二自組裝單層可以形成在填充金屬615上,而可以是親水性或與用於產生蓋材料620的一或更多個前驅物反應。因為材料可以與第一自組裝單層排斥,或者可以選擇性拉伸到金屬,所以可以在填充金屬615上選擇性形成第二自組裝單層。第二自組裝單層可以利用氫氧基或其他親水部分封端,或是利用特別與用於形成蓋材料620的附加前驅物相互作用的部分封端。
隨後,可以利用二或更多個前驅物執行原子層沉積,以開發蓋材料620。沉積的前驅物可以包括含金屬前驅物,並包括經配置以與封端第二自組裝單層(而非第一自組裝單層)的部分相互作用的前驅物。舉例而言,當使用親水性及疏水性封端單層時,原子層沉積前驅物中之一者可以包括水。以此方式,沉積可能不會形成於可以是疏水性的第一自組裝單層上。若蓋材料包括金屬氧化物(例如,氧化鎢或氧化鋁),則用於原子層沉積的前驅物可以包括含鎢前驅物或含鋁材料以及水。在其他實施例中,可以使用含矽前驅物。隨後,在與水的半反應期間,水可能無法與形成在間層介電質610上的第一自組裝單層相互作用,而因此沉積將不在第一自組裝單層上形成。以此方式,可以在填充金屬615上選擇性形成蓋材料620,而不會形成可以化學蝕刻的遮罩層。
在蓋材料620已經形成為合適的高度之後,第一自組裝單層可以暴露於UV光,並從基板移除,或者可以進行一些其他移除。因此,第一自組裝單層可以直接在金屬閘極的選擇性蝕刻之後形成,或者在轉移到附加腔室之後但在附加處理操作之前形成,而在結構上可以不利用需要化學移除或蝕刻的附加遮罩層。類似地,在選擇性沉積之後,可以不需要蝕刻蓋材料620(亦可能需要附加遮罩),以確保在金屬閘極材料上選擇性形成蓋材料620。以此方式,可以排除習知形成中使用的多個操作,這可以顯著減少佇列時間(例如,幾個小時)。在其他實施例中,取決於所執行的操作,可以在選擇性沉積之後執行輕微的凹陷,以從間層介電質610移除殘留材料。
實施例亦可以利用抑制劑,以在填充金屬615上選擇性形成蓋材料620,而不在間層介電質610上形成蓋材料620。舉例而言,可以跨越基板的表面施加所噴塗的抑制劑,並可以沿著基板的頂表面施加,且可以不穿透到基板的凹陷部分內。抑制劑可以是任何數量的材料,材料的特徵可以是矽氧烷主鏈(例如,矽氧烷)或四氟乙烯主鏈(例如,PTFE),以及其他油性或表面活性劑材料。可以跨越基板的表面施加材料,以覆蓋間層介電質610的暴露部分。藉由使用噴塗或塗層應用,可以不將材料施加於基板的凹陷部分內,並且可以不接觸填充金屬615。隨後,可以例如藉由原子層沉積或其他氣相沉積或物理沉積機制來形成蓋材料620。
抑制劑材料可以防止在填充金屬615上可以正常形成或沉積的材料的黏附或吸附。隨後形成蓋材料620,並可以將移除劑施加到基板上,以移除抑制劑材料。移除劑可以是濕式蝕刻劑、反應物或表面活性劑清潔劑,而可以移除讓底下的間層介電質610暴露的殘留抑制劑材料。因此,抑制劑可以直接在選擇性蝕刻之後施加,或者在基板轉移之後,但在影響基板的其他處理操作之前施加。利用抑制劑可以允許在定義區域中形成蓋材料,而不需要經由隨後的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步減少習知處理的佇列時間。
抑制劑亦可以是可以中和基板的表面或使基板的表面呈現惰性的電漿應用的產物。舉例而言,改性電漿可以由一或更多個前驅物形成,而可以包括惰性前驅物。可以將電漿施加到基板的表面,而可以改變基板的頂表面,且可以不穿透到基板的凹陷部分內。舉例而言,含氮前驅物(可以是氮)可以遞送到產生電漿的處理腔室的電漿處理區域。電漿流出物(可以包括含氮電漿流出物)可以遞送到基板,並且可以沿著頂表面而沿著基板的暴露部分形成氮化表面(可以包括間層介電質610)。
電漿流出物在基板的凹陷部分內可能不遞送或者可能不流動,而可以維填充金屬615的純的或未反應的表面。隨後,可以利用一或更多種沉積技術形成蓋材料620,沉積技術可以包括原子層沉積或其他氣相或物理沉積。舉例而言,可以利用原子層沉積技術對電漿流出物進行後續處理。在沉積的每一循環之後,含氮電漿可以重新施加到基板的表面區域上(例如,在間層介電質610上)。以此方式,間層介電質610的表面可以鈍化,以防止或限制彼等區域上的蓋材料620的形成。利用在基板的非凹陷部分上的此等電漿流出物可以允許在定義區域中形成蓋材料,而不需要經由後續的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步減少習知處理的佇列時間。
相對於一或更多個非金屬、介電質或絕緣區域,此等技術中之任意者可以選擇性沉積或形成含金屬區域上的介電或絕緣材料。選擇性可以是完整的,亦即,蓋材料僅在填充金屬615或中間層上形成,而蓋材料可以完全不在間層介電質區域上形成。在其他實施例中,選擇性可能不是完整的,而含金屬材料上的沉積相對於介電或絕緣材料的比率可以是大於約2:1。選擇性亦可以大於或約5:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約75:1、大於或約100:1、大於或約200:1,或更多。蓋材料可以形成為前述的高度,厚度可以小於或約50nm,並且可以小於或約40nm、小於或約30nm、小於或約20nm、小於或約10nm、小於或約5nm,或更少。因此,低於50:1的選擇性可以是可接受的,以完全沉積蓋材料620,同時在間層介電材料610上形成有限量的材料或基本上沒有形成材料。
沉積操作可以在前述的任何溫度或壓力下執行,並可以在大於或約50℃的溫度下執行,且可以在大於或約100℃、大於或約150℃、大於或約200℃、大於或約250℃、大於或約300℃、大於或約350℃、大於或約400℃、大於或約450℃、大於或約500℃或更高的溫度下執行。舉例而言,在原子層沉積操作期間,可以使用大於或約100℃的溫度,以活化前驅物,以在材料層形成時彼此相互作用。
附加地,本技術包括一種用於利用選擇性蝕刻及選擇性沉積形成接觸平台及/或自對準通孔的技術。類似於先前所述的技術,處理可以不利用反應性離子蝕刻或是灰化或清潔的相關聯操作,這可能損傷脆弱的特徵,並且可能增加佇列時間。第7圖圖示形成半導體結構的方法700,其中許多操作可以執行於例如前述腔室200及400中。方法700可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔或可以在所述操作之前執行的任何其他操作。來自方法700的蝕刻處理、沉積處理及許多材料可以類似於上述關於方法500所論述者,而以上論述的任何操作、材料或參數可以用於或包括在將在下文論述的方法700中。
該方法可以包括圖式中所示的多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由包括利用上述任何選擇性沉積技術的替代方法來執行。方法700描述第8A圖至第8E圖中示意性圖示的操作,將結合方法700的操作而描述其說明。應理解,第8圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。
方法700可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括進一步發展以產生自對準通孔結構的區域的基板上。如第8A圖所示,圖示包括蝕刻停止層805、間層介電質810及填充金屬815的經處理的基板800的一部分。填充金屬815可以包括線815b與815c,線815b與815c可以是單一層內的金屬佈線或互連。填充金屬亦可以包括矽通孔(可以是基板上的結構層之間延伸的互連)中的材料(例如,填充金屬815a)。此等材料可能已經在先前操作中形成,並且可能已經拋光到特定高度,而暴露基板的頂表面上的填充金屬815與間層介電質810。可以執行方法700的操作,以限制或消除遮罩操作,限制或消除包括灰化及清潔的RIE處理,以及可以減少在自對準通孔結構的生產期間提供蓋材料的處理佇列時間。處理亦可以對填充金屬815b與填充金屬815c提供額外的保護。
方法700初始可以包括如第8A圖所示的操作705中的沉積第一介電材料820之步驟。可以在類似於先前描述的腔室400的腔室中沉積第一介電材料。如第8A圖所示,第一介電材料820可以沉積於間層介電質810上。沉積操作可以是選擇性沉積,其中第一介電材料相對於暴露的填充金屬815較佳地形成在間層介電質810上。
如第8B圖所示,蓋材料825的後續選擇性沉積可以在操作710中執行。蓋材料825可以相對於第一介電材料820選擇性沉積在填充金屬615上,而第一介電材料820可以覆蓋間層介電質810。在一些實施例中,亦可以暴露間層介電質810的部分,而也可以對於彼等區域選擇性執行蓋材料沉積。選擇性沉積可以類似於先前描述的操作525,但是可以依據第一介電材料820的組成物修改操作。如第8C圖所示,在可選擇操作715處,可以從填充金屬815b與815c選擇性移除蓋材料825,填充金屬815b與815c可以是維持在結構的單一層級內的金屬線,但是任何區段都可以為未覆蓋。在一些實施例中,蓋材料825可以維持在填充金屬815a上,填充金屬815a可以是在結構的層之間延伸的互連。移除可以類似於移除操作530,並且可以包括所論述的任何操作或前驅物。
如第8D圖所示,在操作720處,方法700亦可以包括以下步驟:在暴露的填充金屬815b及815c上選擇性沉積第三介電材料830。選擇性沉積可以較佳地將第三介電材料830沉積在填充金屬815上,並且可以在可以覆蓋填充金屬815a的第一介電材料820或蓋材料825上產生最少的沉積或者不產生沉積。沉積操作720可以類似於先前描述的操作535。隨後,如第8E圖所示,在可選擇操作725中,可以形成接觸平台835。操作725可以類似於先前論述的操作540,並且可以包括先前描述的任何技術。
可以在處理中利用各種材料,並且可以包括先前描述的任何材料,而蝕刻及沉積可以對於多個部件具有選擇性。因此,本技術可以不限於單組材料。舉例而言,填充金屬815可以是在半導體處理中使用的幾種導電物質。填充金屬815可以是或可以包括銅、鈷、鎢或任何其他可作為填充或互連金屬的導電金屬。第一介電材料、第二介電材料(可以是間層介電質810)、第三介電材料及蓋材料中之任意者可以是先前提到的絕緣材料中之一或更多者。在實施例中,每一材料都可以與任何其他層相似或不同。
類似地,儘管可以取決相對於所形成或移除的其他材料而使用的材料來調整選擇性蝕刻與沉積操作,但是可以在其他實施例中使用其他的絕緣材料。第一介電材料820可以包括附加的絕緣材料,並且可以包括金屬氧化物或氮化物材料。舉例而言,第一介電材料820可以是或包括氧化矽、碳氧化矽、氮化矽、氧化鎢、氧化鋁或可以相對於所選填充金屬材料而選擇性沉積在間層介電質810上的其他材料。在實施例中,間層介電質可以是氧化矽,並且可以是其他提及的任何絕緣材料。在實施例中,第一介電材料820與間層介電質810可以是相同的材料,但是在其他實施例中可以彼此不同。蓋材料825亦可以包括金屬氧化物或氮化物材料。舉例而言,蓋材料825可以是或者可以包括氮化矽、碳氧化矽、氧化矽、氧化鎢、氧化鋁,或可以相對於第一介電材料820而選擇性沉積在銅、鈷或鎢上的其他材料,而潛在的間層介電質810應在第一介電材料820的沉積之後保持暴露。第三介電材料830可以是或者包括氮化矽、碳氧化矽、氧化矽、氧化鎢、氧化鋁,或可以相對於第一介電材料820與蓋材料825而選擇性沉積在銅、鈷或鎢上的其他材料。
在一些實施例中,第一介電材料820、蓋材料825及第三介電材料830可以彼此不同。因為在每一沉積期間可以暴露一或更多者,所以可以使用不同的材料來促進相對於其他材料的沉積,但是在附加實施例中三個材料中之任意者都可以相似。儘管是不同材料,但是第一介電材料820、蓋材料、第三介電材料830中之每一者都可以是從包括含碳材料、含氮材料及含氧材料的材料群組中選擇的一或更多種材料,而在實施例中,三個材料中之任意者都可以是先前提到的任何材料,或者可以相對於另一介電材料而選擇性沉積的任何附加的介電材料。
方法700可以在沒有任何RIE處理或相關聯處理的情況下執行。類似地,該方法藉由移除可以在習知處理中的形成物之前、期間或之後所執行的許多圖案化及移除操作而可以減少佇列時間。此外,第三介電材料830的形成可以保護填充金屬815b及815c,而可以在隨後與互連材料或填充金屬815a的接觸處理期間減少短路。沉積或蝕刻處理可以包括上述方法500的任何態樣,並包括所描述的任何選擇性處的操作。此外,沉積處理可以包括先前描述的任何處理,並且可以包括在所描述的任何選擇性處的操作。相較於習知技術,藉由利用本技術,可以利用更多的選擇性形成及移除來執行製造,並且可以比習知處理減少數小時的佇列時間。
如所提及的,先前描述的任何選擇性沉積技術可以用於多個沉積操作中,並且可以包括先前提及用於類似或其他材料的任何選擇性。亦可以使用附加選擇性沉積技術,其可以包括用於選擇性沉積介電材料的替代機制。舉例而言,儘管蓋材料825與第三介電材料830係沉積在金屬(例如,填充金屬815)上,但是第一介電材料820可以沉積在另一介電材料(例如,間層介電質810)上。舉例而言,可以如先前所述形成自組裝單層。先前的任何封端群組可以形成於間層介電質上,以促進第一介電材料820的形成,並維持沒有第一介電材料的填充金屬815或者具有沉積在填充金屬815上的單獨的自組裝單層,以排斥用於沉積的前驅物中之至少一者。取決針對特定第一介電材料820所使用的材料,自組裝單層可以朝向該材料調整。如前所述,水可以作為前驅物中之一者,而如先前所述,自組裝單層可以結構化(例如,在間層介電質上包括羥基封端材料,以相對於填充金屬而促進形成於該材料上)。此外,含氮材料可以作為用於沉積發生的材料上的自組裝單層中之一者(例如,單層的封端部分中之一者),而可以允許吸引用於形成先前描述的材料中之一或更多者的特定前驅物。
由於金屬的結構,亦可以腐蝕或鈍化填充金屬815,以相對於介電材料而降低活性,而可相對於填充金屬815允許在介電材料(例如,間層介電質)上的沉積增加。填充金屬的鈍化可以包括將填充金屬815暴露於矽取代或鹵素取代的材料,這可以限制介電材料的沉積。舉例而言,填充金屬的氧化可以利用含氧材料或含鹵材料,這可以允許優先沉積在介電材料上。一旦氧化(例如,藉由暴露於含氧材料),可以類似於所述而進行原子層沉積,其中前驅物中之一者可以包括含氧材料,這可以不與氧化金屬相互作用。以此方式,作為一個實例,第一介電材料可以是或包括氧化矽,這可以相對於填充金屬815而選擇性沉積在間層介電質810上。
選擇性可以是完整的,亦即,第一介電材料僅在間層介電質810上形成,且可以完全不在填充金屬815上形成。在其他實施例中,選擇性可能不是完整的,而介電或絕緣材料上的沉積相對於含金屬材料的比率可以大於約2:1。選擇性亦可以大於或約5:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約75:1、大於或約100:1、大於或約200:1,或更多。任何介電材料都可以形成為前述的高度,厚度可以小於或約50nm,並且可以小於或約40nm、小於或約30nm、小於或約20nm、小於或約10nm、小於或約5nm,或更少。因此,低於50:1的選擇性可以是可接受的,以完全沉積第一介電材料820,同時在填充金屬815上形成有限量的材料或基本上沒有形成材料。
附加地,本技術包括一種用於利用選擇性蝕刻及選擇性沉積形成接觸平台及/或自對準通孔的技術。類似於先前所述的技術,處理可以不利用反應性離子蝕刻或是灰化或清潔的相關聯操作,這可能損傷脆弱的特徵,並且可能增加佇列時間。第9圖圖示形成半導體結構的方法900,其中許多操作可以執行於例如前述腔室200及400中。方法900可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔或可以在所述操作之前執行的任何其他操作。來自方法900的蝕刻處理、沉積處理及許多材料可以類似於上述關於方法500或方法700所論述者,而以上論述的任何操作、材料或參數可以用於或包括在將在下文論述的方法900中。
該方法可以包括圖式中所示的多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由包括利用上述任何選擇性沉積技術的替代方法來執行。方法900描述第10A圖至第10F圖中示意性圖示的操作,將結合方法900的操作而描述其說明。應理解,第10圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。
方法900可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括進一步發展以產生自對準通孔結構的區域的基板上。如第10A圖所示,圖示包括蝕刻停止層1005、間層介電質1010及填充金屬1015的經處理的基板1000的一部分。填充金屬1015可以包括線1015b與1015c,線1015b與1015c可以是單一層內的金屬線。填充金屬亦可以包括矽通孔(可以是基板上的結構層之間延伸的互連)中的材料(例如,填充金屬1015a)。此等材料可能已經在先前操作中形成,並且可能已經拋光到特定高度,而暴露基板的頂表面上的填充金屬1015與間層介電質1010。可以執行方法900的操作,以限制或消除遮罩操作,限制或消除包括灰化及清潔的RIE處理,以及可以減少在自對準通孔結構的生產期間提供蓋材料的處理佇列時間。處理亦可以對填充金屬1015b與填充金屬1015c提供額外的保護。
方法900初始可以包括如第10A圖所示的操作905中的沉積第一金屬1020之步驟。可以在類似於先前描述的腔室400的腔室中沉積第一金屬。如第10A圖所示,第一金屬1020可以沉積於填充金屬1015上。沉積操作可以是選擇性沉積,其中第一金屬相對於間層介電質1010較佳地形成在暴露的填充金屬1015上。隨後的金屬材料的形成可以促進間層介電質1010上方的分離。舉例而言,在實施例中,第一金屬1020所形成的高度可以小於20nm,而所形成的高度可以小於或約15nm、小於或約10nm、小於或約8nm、小於或約6nm、小於或約5nm、小於或約4nm、小於或約3nm、小於或約2nm、小於或約1nm,或更小。此外,第一金屬1020所形成的高度可以在此等範圍的任意者內,或者在此等所述範圍的任意者內包含的較小範圍內。
如第10B圖所示,蓋材料1025的後續選擇性沉積可以在操作910中執行。蓋材料1025可以相對於間層介電質1010而選擇性沉積成第一金屬1020上的柱。選擇性沉積可以類似於先前描述的操作525,但是可以依據間層介電質1010的組成物修改操作。如第10C圖所示,在可選擇操作915處,可以沉積附加量的間層介電質1010,以將間層介電質的高度延伸到蓋材料1025的層級。在一些實施例中,可以在結構上施加附加的毯覆塗層,接著進行拋光操作,以暴露蓋材料1025。
如第10D圖所示,在可選擇操作920處,可以從金屬填充物1015b與1015c選擇性移除蓋材料1025,金屬填充物1015b與1015c可以是維持在結構的單一層級內的金屬線,但是任何區段都可以為未覆蓋。在一些實施例中,蓋材料1025可以維持在第一金屬1020與填充金屬1015a上,第一金屬1020與填充金屬1015a可以是在結構的層之間延伸的互連。移除可以類似於移除操作530,並且可以包括所論述的任何操作或前驅物。在實施例中,可以執行第一金屬1020的附加移除,以從填充金屬1015b與1015c移除第一金屬。
如第10E圖所示,在操作925處,方法900亦可以包括以下步驟:在暴露的填充金屬1015b及1015c上選擇性沉積第二介電材料1030。選擇性沉積可以較佳地將第二介電材料1030沉積在填充金屬1015上,並且可以在可以覆蓋填充金屬1015a的間層介電質1010或蓋材料1025上產生最少的沉積或者不產生沉積。沉積操作925可以類似於先前描述的操作535。隨後,如第10F圖所示,在可選擇操作930中,可以形成接觸平台1035。操作930可以類似於先前論述的操作540,並且可以包括先前描述的任何技術。
可以在處理中利用各種材料,並且可以包括先前描述的任何材料,而蝕刻及沉積可以對於多個部件具有選擇性。因此,本技術可以不限於單組材料。舉例而言,填充金屬1015可以是在半導體處理中使用的幾種導電物質。填充金屬1015可以是或可以包括銅、鈷、鎢或任何其他可作為填充或互連金屬的導電金屬。此外,第一金屬1020可以是任何相同的材料,或者可以不同於填充金屬1015。舉例而言,在一個實施例中,填充金屬1015可以是銅或鈷,而第一金屬1020可以是鎢。第二介電材料或蓋材料中之任一種可以是先前提到的絕緣材料中之一或更多者。在實施例中,每一材料都可以與其他層相似或不同。
類似地,儘管可以取決相對於所形成或移除的其他材料而使用的材料來調整選擇性蝕刻與沉積操作,但是可以在其他實施例中使用其他的絕緣材料。蓋材料1025可以是或包括金屬氧化物或氮化物材料。舉例而言,蓋材料1025可以是或者包括氮化矽、碳氧化矽、氧化矽、氧化鎢、氧化鋁,或可以相對於間層介電質1010而選擇性沉積在銅、鈷或鎢上的其他材料。第二介電材料1030可以是或者包括氮化矽、碳氧化矽、氧化矽、氧化鎢、氧化鋁,或可以相對於間層介電質1010與蓋材料1025而選擇性沉積在銅、鈷或鎢上的其他材料。
在一些實施例中,蓋材料1025與第二介電材料1030可以彼此不同。因為在每一沉積期間可以暴露一或更多者,所以可以使用不同的材料來促進相對於其他材料的沉積,但是在附加實施例中二個材料可以相似。儘管是不同材料,但是蓋材料1025與第二介電材料1030中之每一者都可以是從包括含碳材料、含氮材料及含氧材料的材料群組中選擇的一或更多種材料,而在實施例中,材料中之任一者都可以是先前提到的任何材料,或者可以相對於另一介電材料而選擇性沉積的任何附加的介電材料。
方法900可以在沒有任何RIE處理或相關聯處理的情況下執行。類似地,該方法藉由移除可以在習知處理中的形成物之前、期間或之後所執行的許多圖案化及移除操作而可以減少佇列時間。此外,第二介電材料1030的形成可以保護填充金屬1015b及1015c,而可以在隨後與互連材料或填充金屬1015a的接觸處理期間減少短路。沉積或蝕刻處理可以包括上述方法500或方法700的任何態樣,並包括所描述的任何選擇性處的操作。此外,沉積處理可以包括先前描述的任何處理,並且可以包括在所描述的任何選擇性處的操作。相較於習知技術,藉由利用本技術,可以利用更多的選擇性形成及移除來執行製造,並且可以比習知處理減少數小時的佇列時間。
再次,先前描述的任何選擇性沉積技術可以用於多個沉積操作中,並且可以包括先前提及用於類似或其他材料的任何選擇性。亦可以使用附加選擇性沉積技術,其可以包括用於選擇性沉積金屬材料的替代機制。舉例而言,第一金屬1020可以沉積在填充金屬1015上,並且可以利用特定於金屬對金屬沉積的沉積處理。也可以利用先前描述的任何沉積技術,包括先前所述的自組裝單層的形成。此外,可以執行先前所述的鈍化。舉例而言,間層介電質1010可以包括氧化矽,例如可以經加工以將封端組調整為含矽、氫基或含鹵素。此舉可以允許在填充金屬1015上優先沉積金屬材料。在其他實施例中,含氮前驅物可以用於原子層沉積處理的一或兩種,這可允許相對於氧化矽表面而優先沉積在金屬表面上(例如,可用於間層介電質1010)。
選擇性可以是完整的,亦即,第一金屬僅在填充金屬1015上形成,且可以完全不在間層介電質1010上形成。在其他實施例中,選擇性可能不是完整的,而含金屬材料上的沉積相對於介電或絕緣材料的比率可以是大於約2:1。選擇性亦可以大於或約5:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約75:1、大於或約100:1、大於或約200:1,或更多。第一金屬可以形成為前述的高度,厚度可以小於或約50nm,並且可以小於或約40nm、小於或約30nm、小於或約20nm、小於或約10nm、小於或約5nm、小於或約3nm、小於或約1nm,或更少。因此,低於50:1的選擇性可以是可接受的,以完全沉積第一金屬1020,同時在間層介電質1010上形成有限量的材料或基本上沒有形成材料。相較於習知技術,藉由利用本技術,可以利用更多的選擇性形成及移除來執行製造,並且可以比習知處理減少數小時的佇列時間。
在先前描述中,為了解釋之目的,已經闡述許多細節,以提供對於本技術的各種實施例的理解。然而,對於該領域具有通常知識者顯而易見的是,可以在沒有此等細節中之一些或在具有附加細節的情況下實施某些實施例。
已揭示幾個實施例,但應理解,該領域具有通常知識者可以在不悖離實施例的精神的情況下使用各種修改、替代構造及等同物。此外,為了避免不必要地模糊本技術,並未描述許多已知的處理及元件。因此,上面的描述不應視為限制本技術之範疇。
當提供值的範圍時,應理解,除非上下文另有明確說明,亦具體揭示該範圍的上限與下限之間的每一中間值到下限單位的最小部分。包括在所述範圍中的任何所述值或未敘述的中間值與所述範圍中的任何其他所述或中間值之間的任何較窄範圍。此等較小範圍的上限與下限可以獨立地包括在範圍中或排除在外,而包括上下限其中一者、兩者或不含上下限的較小範圍中的每一範圍亦包括在本技術內,取決於所述範圍中特別排除的限制。在所述範圍包括一或二個限制的情況下,則亦包括排除此等所包括限制中的一或二者的範圍。
如本文及隨附專利申請範圍中所使用,除非上下文另有明確說明,否則單數形式「一」、「一個」及「該」包括複數指稱。因此,舉例而言,指稱「一層」包括複數個這樣的層,而指稱「前驅物」包括指稱該領域具有通常知識者已知的一或更多個前驅物及其等同物等等。
此外,在本說明書及以下請求項中使用詞語「包含」、「所包含」、「含有」、「所含有」、「包括」及「所包括」時,意欲在指定所述特徵、整體、部件或操作的存在,但是不排除一或更多個其他特徵、整體、部件、操作、動作或群組的存在或附加。
10‧‧‧裝載閘腔室15‧‧‧隔離閥20‧‧‧處理腔室30‧‧‧氣體分配板60‧‧‧基板61‧‧‧第一表面65‧‧‧梭子70‧‧‧軌道90‧‧‧輻射熱源100‧‧‧處理系統102‧‧‧前開式聯合晶圓盒104‧‧‧機器臂106‧‧‧托持區域108a‧‧‧處理腔室108b‧‧‧處理腔室108c‧‧‧處理腔室108d‧‧‧處理腔室108e‧‧‧處理腔室108f‧‧‧處理腔室109a‧‧‧串聯區段109b‧‧‧串聯區段109c‧‧‧串聯區段110‧‧‧第二機器臂200‧‧‧腔室201‧‧‧RPS單元203‧‧‧冷卻板205‧‧‧氣體入口組件210‧‧‧流體供應系統214‧‧‧上板215‧‧‧第一電漿區域216‧‧‧下板217‧‧‧面板218‧‧‧容積219‧‧‧第一流體通道220‧‧‧絕緣環221‧‧‧第二流體通道223‧‧‧離子抑制器225‧‧‧噴淋頭233‧‧‧基板處理區域240‧‧‧功率供應器253‧‧‧詳細視圖255‧‧‧基板258‧‧‧氣體供應區域259‧‧‧孔隙265‧‧‧台座325‧‧‧噴淋頭365‧‧‧通孔375‧‧‧小孔洞400‧‧‧腔室420‧‧‧注射器425‧‧‧氣體埠430‧‧‧注射器435‧‧‧氣體埠440‧‧‧注射器445‧‧‧氣體埠450‧‧‧泵送系統455‧‧‧真空埠460‧‧‧分區498‧‧‧箭頭500‧‧‧方法505‧‧‧操作510‧‧‧操作515‧‧‧操作520‧‧‧操作525‧‧‧操作530‧‧‧操作535‧‧‧操作540‧‧‧操作600‧‧‧基板605‧‧‧蝕刻停止層610‧‧‧間層介電質615a‧‧‧填充金屬615b‧‧‧填充金屬615c‧‧‧填充金屬620‧‧‧蓋材料625‧‧‧第二介電材料630‧‧‧接觸平台700‧‧‧方法705‧‧‧操作710‧‧‧操作715‧‧‧操作720‧‧‧操作725‧‧‧操作800‧‧‧基板805‧‧‧蝕刻停止層810‧‧‧間層介電質815a‧‧‧填充金屬815b‧‧‧填充金屬815c‧‧‧填充金屬820‧‧‧第一介電材料825‧‧‧蓋材料830‧‧‧第三介電材料835‧‧‧接觸平台900‧‧‧方法905‧‧‧操作910‧‧‧操作915‧‧‧操作920‧‧‧操作925‧‧‧操作930‧‧‧操作1000‧‧‧基板1005‧‧‧蝕刻停止層1010‧‧‧間層介電質1015a‧‧‧填充金屬1015b‧‧‧填充金屬1015c‧‧‧填充金屬1020‧‧‧第一金屬1025‧‧‧蓋材料1030‧‧‧第二介電材料1035‧‧‧接觸平台
可以藉由參照說明書及圖式的其餘部分來實現所揭示的技術的本質及優點的進一步理解。
第1圖圖示根據本技術的實施例的示例性處理系統的頂視平面圖。
第2A圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。
第2B圖圖示根據本技術的實施例的示例性面板的詳細視圖。
第3圖圖示根據本技術的實施例的示例性噴淋頭的底視平面圖。
第4圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。
第5圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。
第6A圖至第6E圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。
第7圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。
第8A圖至第8E圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。
第9圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。
第10A圖至第10F圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。
圖式中的幾個係包括作為示意圖。應理解,圖式僅用於說明目的,而除非特別聲明具有標度,否則不應視為比例。此外,作為示意圖,圖式係提供為幫助理解,並且可能不包括相較於實際表示的所有態樣或資訊,並且可能包括用於說明目的之誇大材料。
在隨附圖式中,類似的部件及/或特徵可以具有相同的元件符號。此外,相同類型的各種部件可以藉由在元件符號後利用字母來區分,以區分類似部件。若在說明書中僅使用最前面的元件符號,則該描述係適用於具有相同最前面的元件符號的任何一個類似部件,而與字母無關。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
1035‧‧‧接觸平台

Claims (18)

  1. 一種形成一半導體結構的方法,該方法包含以下步驟:在一半導體基板上沉積一第一介電材料,其中該第一介電材料相對於填充金屬的暴露區域而選擇性地沉積在一第二介電材料上;隨後在該填充金屬上沉積一蓋材料,其中該蓋材料相對於該第一介電材料的暴露區域選擇性地沉積在該填充金屬上;從將作為該半導體基板上之該第一介電材料內的金屬線之該填充金屬選擇性地移除該蓋材料,同時將該蓋材料保持在將作為一互連(interconnect)之該填充金屬上,該互連延伸於該半導體基板上之結構層之間;以及於該保留的蓋材料上形成一接觸平台(contact landing)。
  2. 如請求項1所述之形成一半導體結構的方法,其中該填充金屬包含銅或鈷。
  3. 如請求項1所述之形成一半導體結構的方法,其中該第一介電材料包含碳氧化矽、氮化矽、氧化鎢或氧化鋁,且其中該第二介電材料包含氧化矽。
  4. 如請求項1所述之形成一半導體結構的方法, 其中該蓋材料包含一金屬氮化物或一金屬氧化物。
  5. 如請求項1所述之形成一半導體結構的方法,其中該方法係在並未進行一反應性離子蝕刻操作的情況下執行。
  6. 如請求項1所述之形成一半導體結構的方法,其中利用該第二介電材料相對於該填充金屬大於或約2:1的一選擇性而執行該第一介電材料沉積。
  7. 如請求項1所述之形成一半導體結構的方法,其中利用該填充金屬相對於該第一介電材料大於或約2:1的一選擇性而執行該蓋材料沉積。
  8. 如請求項1所述之形成一半導體結構的方法,進一步包含以下步驟:在該填充金屬的暴露區域上沉積一第三介電材料,其中該第三介電材料相對於該第一介電材料與該第二介電材料選擇性地沉積在該填充金屬上。
  9. 一種形成一半導體結構的方法,該方法包含以下步驟:在一處理腔室的一遠端電漿區域中形成一含氟前驅物的一電漿;使一半導體基板與該電漿的流出物接觸,其中該半導體基板係容納在該處理腔室的一處理區域中;選擇性地蝕刻位在該半導體基板上的一第一介電材 料的暴露區域的一高度下方之一填充金屬;隨後在該填充金屬上沉積一蓋材料,其中該蓋材料相對於該第一介電材料的暴露區域選擇性地沉積在該填充金屬上;以及沉積一第二介電材料,其中該第二介電材料相對於該蓋材料與該第一介電材料選擇性地沉積在該填充金屬上。
  10. 如請求項9所述之形成一半導體結構的方法,其中該蓋材料包含與該第二介電材料不同的一材料。
  11. 如請求項10所述之形成一半導體結構的方法,其中該蓋材料係選自由一含碳材料、一含氮材料及一含氧材料所組成的群組。
  12. 如請求項11所述之形成一半導體結構的方法,其中該第二介電材料係選自由一含碳材料、一含氮材料及一含氧材料所組成的群組,且其中該第二介電材料與該蓋材料不同。
  13. 如請求項12所述之形成一半導體結構的方法,其中該含氧材料包含氧化矽、氧化鎢或氧化鋁。
  14. 如請求項9所述之形成一半導體結構的方法,其中該蝕刻步驟係在一第一處理腔室中執行,且該沉積步驟係在一第二處理腔室中執行。
  15. 如請求項9所述之形成一半導體結構的方法,進一步包含以下步驟:將該半導體基板從該第一處理腔室轉移到該第二處理腔室,且其中該轉移步驟係在不破壞真空的情況下執行。
  16. 如請求項9所述之形成一半導體結構的方法,其中利用該填充金屬相對於該第一介電材料大於或約10:1的一選擇性而執行該蝕刻步驟。
  17. 如請求項9所述之形成一半導體結構的方法,其中利用該填充金屬相對於該第一介電材料大於或約2:1的一選擇性而執行該沉積。
  18. 一種形成一半導體結構的方法,該方法包含以下步驟:在一填充金屬上沉積一第一金屬,其中該第一金屬相對於一第一介電材料的暴露區域選擇性地沉積在該填充金屬上;隨後在該第一金屬上沉積一蓋材料,其中該蓋材料相對於該第一介電材料的暴露區域選擇性地沉積在該第一金屬上;以及沉積一第二介電材料,其中該第二介電材料相對於該第一介電材料選擇性地沉積在該第一金屬上。
TW107113495A 2017-04-20 2018-04-20 自對準通孔處理流程 TWI751326B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762487714P 2017-04-20 2017-04-20
US62/487,714 2017-04-20

Publications (2)

Publication Number Publication Date
TW201903966A TW201903966A (zh) 2019-01-16
TWI751326B true TWI751326B (zh) 2022-01-01

Family

ID=63856088

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113495A TWI751326B (zh) 2017-04-20 2018-04-20 自對準通孔處理流程

Country Status (2)

Country Link
TW (1) TWI751326B (zh)
WO (1) WO2018195408A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009891A1 (en) * 1999-02-10 2002-01-24 Chris Ting Method for the etchback of a conductive material
US20130288472A1 (en) * 2012-04-30 2013-10-31 Jay-Bok Choi Methods of fabricating semiconductor devices having buried channel array
TW201639775A (zh) * 2015-05-13 2016-11-16 格羅方德半導體公司 填充積體電路中之凹穴及其結果裝置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008013086A1 (fr) * 2006-07-27 2008-01-31 Panasonic Corporation Dispositif de stockage à semi-conducteurs non volatil et son procédé de fabrication
JP2011029552A (ja) * 2009-07-29 2011-02-10 Renesas Electronics Corp 半導体装置およびその製造方法
US8823149B2 (en) * 2012-12-11 2014-09-02 Globalfoundries Inc. Contact landing pads for a semiconductor device and methods of making same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009891A1 (en) * 1999-02-10 2002-01-24 Chris Ting Method for the etchback of a conductive material
US20130288472A1 (en) * 2012-04-30 2013-10-31 Jay-Bok Choi Methods of fabricating semiconductor devices having buried channel array
TW201639775A (zh) * 2015-05-13 2016-11-16 格羅方德半導體公司 填充積體電路中之凹穴及其結果裝置

Also Published As

Publication number Publication date
WO2018195408A1 (en) 2018-10-25
TW201903966A (zh) 2019-01-16

Similar Documents

Publication Publication Date Title
US10573527B2 (en) Gas-phase selective etching systems and methods
TWI775839B (zh) 具有選擇性阻隔層的結構
TWI790265B (zh) 改良之金屬接觸定位結構
US8383519B2 (en) Etching method and recording medium
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
TWI751326B (zh) 自對準通孔處理流程
US20220351979A1 (en) Systems and methods for selective metal compound removal
TWI758464B (zh) 含矽間隔物的選擇性形成
TWI823251B (zh) 用於移除含氮化物膜的系統及方法
TWI774754B (zh) 自對準觸點與閘極處理流程
TWI778048B (zh) 形成半導體結構的方法
TWI798215B (zh) 選擇性側壁間隔物
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻
CN115552574A (zh) 含钌材料的选择性移除