TWI837885B - 高深寬比特徵中的金屬沉積及蝕刻 - Google Patents

高深寬比特徵中的金屬沉積及蝕刻 Download PDF

Info

Publication number
TWI837885B
TWI837885B TW111138981A TW111138981A TWI837885B TW I837885 B TWI837885 B TW I837885B TW 111138981 A TW111138981 A TW 111138981A TW 111138981 A TW111138981 A TW 111138981A TW I837885 B TWI837885 B TW I837885B
Authority
TW
Taiwan
Prior art keywords
fluorine
metal
containing precursor
etching
plasma
Prior art date
Application number
TW111138981A
Other languages
English (en)
Other versions
TW202336862A (zh
Inventor
王柏瑋
洛韓普力葛魯 雷迪
小林 陳
振江 崔
安川 王
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/689,092 external-priority patent/US20230290647A1/en
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202336862A publication Critical patent/TW202336862A/zh
Application granted granted Critical
Publication of TWI837885B publication Critical patent/TWI837885B/zh

Links

Images

Abstract

示例性的蝕刻方法可以包括使含氟前驅物和輔助氣體流入半導體處理腔室的處理區域中。輔助氣體可以是或包括氧氣或氮氣。含氟前驅物與輔助氣體的流速比可大於或約為1:1。該方法可包括使基板與含氟前驅物和輔助氣體接觸。基板可以包括經暴露的金屬。基板可限定高深寬比結構。該方法可以包括蝕刻高深寬比結構內的經暴露的金屬。

Description

高深寬比特徵中的金屬沉積及蝕刻
本申請要求於2022年3月8日提交的題為「高深寬比特徵中的金屬沉積和蝕刻」的美國非臨時申請號17/689,092的優先權,其內容為出於所有目的,透過引用將其全部併入本文。
本技術涉及半導體處理和設備。更具體地,本技術涉及高深寬比特徵內的沉積和蝕刻以改善透過特徵的負載。
透過在基板表面上產生複雜圖案化的材料層的處理使積體電路成為可能。在基板上生產圖案化材料需要可控的方法來去除暴露的材料。化學蝕刻用於多種目的,包括將光阻中的圖案轉移到底層、減薄層或表面上已存在特徵的橫向尺寸。通常希望具有蝕刻一種材料比另一種材料更快的蝕刻處理,以促進例如圖案轉移處理。這種蝕刻處理據說對第一材料是選擇性的。由於材料、電路和處理的多樣性,已經開發出對各種材料具有選擇性的蝕刻處理。
根據處理中使用的材料,蝕刻處理可稱為濕式或乾式。濕式HF蝕刻會優先於其他介電質和材料去除氧化矽。然而,濕式處理可能難以穿透一些受限的溝槽,有時還可能使剩餘材料變形。在基板處理區域內形成的局部電漿中產生的乾式蝕刻可以穿透更受限的溝槽並且表現出精細剩餘結構的較小變形。然而,局部電漿在放電時可能會透過產生電弧而損壞基板。
因此,需要可用於生產高品質元件和結構的改進系統和方法。本技術解決了這些和其他需求。
示例性的蝕刻方法可以包括在基板上限定的高深寬比結構內沿經暴露金屬的表面沉積含金屬材料或含碳材料。該方法可以包括使含氟前驅物和輔助氣體流入半導體處理腔室的處理區域中。輔助氣體可以是或包括氧氣或氮氣。含氟前驅物與輔助氣體的流速比可大於或約為1:1。該方法可包括使基板與含氟前驅物和輔助氣體接觸。該方法可包括蝕刻高深寬比結構內的經暴露金屬。
在一些實施例中,該方法可以包括形成含氟前驅物和輔助氣體的電漿。該方法可包括重複該方法至少一個週期。可熱沉積含金屬材料或含碳材料。可將含金屬材料或含碳材料沿高深寬比結構的開口附近的表面沉積在比沿著高深寬比結構內更深的表面更厚的厚度。高深寬比結構可以包括3D NAND結構中的記憶孔。經暴露的金屬可以橫 向延伸到垂直於記憶孔形成的凹陷中。該方法可以包括,在蝕刻經暴露的金屬之後,淨化半導體處理腔室的處理區域。該方法可包括形成含氧前驅物的電漿。
該方法可以包括使經暴露的金屬與含氧前驅物的電漿流出物接觸以產生氧化金屬。含氟前驅物可以是第一含氟前驅物。該方法可以包括使第二含氟前驅物流入處理區域。該方法可包括使氧化金屬與第二含氟前驅物接觸。在使氧化金屬與第二含氟前驅物接觸時,處理區域可以保持無電漿。沉積和蝕刻都可以在半導體處理腔室內進行。處理區域可以在沉積期間保持無電漿。該方法可以包括,在蝕刻經暴露的金屬之後,使含氯前驅物流入處理區域中。含氯前驅物可以清除殘留的氟。蝕刻高深寬比結構內的經暴露金屬之後,頂部到底部的負載值可以小於或約為1.5。
本技術的一些實施例可以包括蝕刻方法。該方法可包括在基板上限定的高深寬比結構內沿經暴露金屬的表面沉積含金屬材料或含碳材料。該方法可以包括使第一含氟前驅物和輔助氣體流入半導體處理腔室的處理區域中。該方法可包括使基板與第一含氟前驅物和輔助氣體接觸。基板可以包括經暴露的金屬。基板可以限定3D NAND結構中的記憶孔,並且經暴露的金屬可以橫向延伸到垂直於記憶孔形成的凹陷中。該方法可以包括蝕刻記憶孔內的經暴露金屬。該方法可包括形成含氧前驅物的電漿。該方法可包括使經暴露的金屬與含氧前驅物的電漿流出物接觸以產 生氧化金屬。該方法可以包括使第二含氟前驅物流入半導體處理腔室的處理區域中。該方法可以包括去除氧化的金屬。
在一些實施例中,輔助氣體可以是或包括氧氣或氮氣。第一含氟前驅物與輔助氣體的流速比可大於或約為1:1。該方法可以包括形成第一含氟前驅物和輔助氣體的電漿。半導體處理腔室內的溫度可以保持在約200℃和約500℃之間。沉積可形成含碳材料或含金屬材料,包括鎢或鉬。在使第二含氟前驅物流入半導體處理腔室的處理區域中時,處理區域可以保持無電漿。該方法可以包括,在蝕刻經暴露的金屬之後,使含氯前驅物流入處理區域中。
本技術的一些實施例可以包括蝕刻方法。該方法可包括在基板上限定的高深寬比結構內沿經暴露金屬的表面沉積含金屬材料或含碳材料。該方法可以包括使第一含氟前驅物和輔助氣體流入半導體處理腔室的處理區域中。輔助氣體可以是或包括氧氣或氮氣。該方法可包括使基板與第一含氟前驅物和輔助氣體接觸。基板可以包括經暴露的金屬。基板可以限定高深寬比結構。該方法可包括蝕刻高深寬比結構內的經暴露金屬。該方法可包括使經暴露的金屬與含氧前驅物接觸以產生氧化金屬。該方法可以包括使第二含氟前驅物流入半導體處理腔室的處理區域中。該方法可以包括去除氧化的金屬。該方法可以在小於或約500℃的腔室操作溫度下進行。
這種技術可以提供優於傳統系統和技術的許多好處。例如,該處理可以允許更均勻地從高深寬比特徵中去除金屬,並且可以提供可以在單個腔室或多個腔室中執行的處理。此外,該處理可以在執行蝕刻處理期間提供一定範圍的側壁輪廓。這些和其他實施例連同它們的許多優點和特徵將結合以下描述和附圖更詳細地描述。
100:處理系統
102:前開式晶圓傳送盒
104:機械臂
109a-c:串聯部分
108a-f:處理腔室
106:保持區域
110:第二機械臂
200:處理腔室系統
205:入口組件
215:第一電漿區域
201:遠端電漿系統
203:冷卻板
217:面板
223:離子抑制器
225:噴頭
255:基板
265:基座
258:氣體供應區域
210:流體供應系統
220:絕緣環
233:處理區域
240:電源
259:孔
214:上板
216:下板
218:容積
219:流體通道
221:流體通道
225:噴頭
325:噴頭
365:通孔
375:小孔
400:方法
505:基板
510:介電質材料
520:佔位材料
530:溝槽
540:金屬
402:操作
405:操作
545:材料
410:操作
415:操作
420:操作
425:操作
430:操作
435:操作
550:材料
440:操作
可以透過參考說明書的其餘部分和附圖來實現對所公開技術的性質和優點的進一步理解。
圖1示出了根據本技術的一些實施例的示例性處理系統的一個實施例的俯視圖。
圖2A示出了根據本技術的一些實施例的示例性處理腔室的示意性剖視圖。
圖2B示出了根據本技術的一些實施例的圖2A中所示處理腔室的一部分的詳細視圖。
圖3示出了根據本技術的一些實施例的示例性噴頭的底視圖。
圖4示出了根據本技術的一些實施例的方法中的示例性操作。
圖5A-5D顯示根據本技術的一些實施例被處理的基板的剖視圖。
數個附圖被包括為示意圖。應當理解,附圖是用於說明的目的,並且不被認為是按比例繪製的,除非特別說 明是按比例繪製的。此外,作為示意圖,提供這些圖是為了幫助理解,並且可能不包括與現實表示相比的所有態樣或訊息,並且可能包括用於說明目的的誇大材料。
在附圖中,相似的組件和/或特徵可以具有相同的元件符號。此外,相同類型的各種部件可以透過在元件符號後面加上區分相似部件的字母來區分。如果在說明書中僅使用第一個元件符號,則該描述適用於具有相同第一個元件符號的任何一個類似部件,而不管字母如何。
在從2D NAND到3D NAND的轉變中,許多處理操作從垂直操作修改為位準操作。此外,隨著3D NAND結構中形成的單元數量的增加,記憶孔和其他結構的深寬比有時會顯著增加。在3D NAND處理過程中,佔位層和介電材料的堆疊可以形成電極間介電層或IPD層。在完全移除材料並用金屬替換之前,這些佔位層可能會執行各種操作來放置結構。可以執行金屬化,其中沿著結構和作為記憶單元的一部分的介電質層之間形成金屬。金屬可沿著記憶孔的側壁延伸並在凹陷部分內延伸,並且可執行後續蝕刻以分離記憶孔結構內的個別單元。
許多習知技術利用蝕刻處理來生產這些可能無法在未來處理節點充分執行的結構。例如,隨著結構內的單元數量增加到數百個單元,記憶孔可能形成到幾微米的深度。由於濕式蝕刻的穩健蝕刻,濕式蝕刻可以在蝕刻劑接 觸到結構底部之前就開始蝕刻更靠近結構頂部的特徵。此外,由於蝕刻劑的表面張力,小型結構的濕式蝕刻可能導致圖案坍塌或變形。使用濕式蝕刻劑還可能需要後續操作來去除溝槽或孔內形成的殘留物。也可以執行乾式蝕刻技術,但是可能會出現類似的負載問題。例如,由於進入溝槽深處需要時間,蝕刻可能已經發生在靠近結構頂部的位置。在結構頂部蝕刻的金屬量與在結構底部蝕刻的金屬量的比,稱為頂部到底部負載值,在一些情況下可以大於或約為4。因此,在結構底部附近的蝕刻完成或單元分離之前,頂部特徵可能被過度蝕刻。
本技術透過執行沉積和乾蝕刻處理克服了這些問題,該處理可以允許透過將保護氣體與所使用的蝕刻劑結合來控制頂部到底部的負載值。沉積可利用特徵較高處的較多沉積和特徵較低處的較少沉積,這可提供整個被蝕刻材料的受控緩衝。保護氣體可佔據待蝕刻金屬的位置,這可在蝕刻劑穿透高深寬比結構時限制或減少靠近結構頂部的蝕刻。這可以允許沿著結構形成可調的蝕刻輪廓,並且這可以提供對蝕刻的額外控制,這可以增加處理的均勻性,而不管結構的深度如何。
儘管其餘的公開將例行地決定利用所公開的技術的特定蝕刻處理,但是將容易理解的是,系統和方法同樣適用於可能發生在所述腔室中的沉積和清潔處理。因此,該技術不應被認為局限於僅與蝕刻處理或腔室一起使用。此外,雖然示例性腔室被描述為本技術提供基礎,但應當 理解,本技術實際上可應用於可允許所述操作的任何半導體處理腔室。
圖1示出了根據實施例的沉積、蝕刻、烘烤和固化腔室的處理系統100的一個實施例的俯視圖。在圖中,一對前開式晶圓傳送盒102供應各種尺寸的基板,這些基板由機械臂104接收並在放置到定位在串聯部分109a-c的基板處理腔室108a-f之一之前放置到低壓保持區域106。第二機械臂110可用於將基板晶片從保持區域106傳送到基板處理腔室108a-f並返回。每個基板處理腔室108a-f可以被配備以執行多個基板處理操作,包括除了循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積、蝕刻、預清潔之外的本文所述的乾式蝕刻處理、脫氣、定向和其他基板處理。
基板處理腔室108a-f可以包括一或更多個系統部件,用於在基板晶片上沉積、退火、固化和/或蝕刻介電膜。在一種配置中,兩對處理腔室(例如108c-d和108e-f),可用於在基板上沉積介電材料,並且第三對處理腔室(例如108a-b),可用於蝕刻沉積的介電質。在另一種配置中,所有三對腔室(例如108a-f),可以被配置為蝕刻基板上的介電質膜。可在與不同實施例中所示的製造系統分開的一或更多個腔室中執行所描述的任一或多個處理。應當理解,系統100考慮了用於介電質膜的沉積、蝕刻、退火和固化腔室的附加配置。
圖2A示出了在處理腔室內具有分隔的電漿產生區域的示例性處理腔室系統200的剖視圖,並且其可以被配置為執行如下文進一步描述的處理。在進行薄膜刻蝕時,例如包括氮化鈦、氮化鉭、鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等材料,處理氣體可以通過氣體入口組件205流入第一電漿區域215。遠端電漿系統201可以可選地包括在該系統中,並且可以處理第一氣體,該第一氣體然後行進通過氣體入口組件205。入口組件205可以包括兩個或更多不同的氣體供應通道,其中第二通道可以繞過遠端電漿系統單元201(如果包括的話)。
冷卻板203、面板217、離子抑制器223、噴頭225和其上設置有基板255的基座265被示出並且可以根據實施例各自被包含。基座265可具有熱交換通道,熱交換流體流過該熱交換通道以控制基板的溫度,其可在處理操作期間操作以加熱和/或冷卻基板或晶片。基座265的晶片支撐盤(可以包括鋁、陶瓷或其組合)也可使用嵌入式電阻加熱元件而被電阻加熱以實現相對高的溫度(例如從高達或約100℃到高於或約1100℃)。
面板217可以是金字塔形、圓錐形或具有擴展到寬底部的窄頂部的另一種類似結構。如圖所示,面板217另外可以是平坦的並且包括用於分配處理氣體的複數個貫通通道。取決於RPS 201的使用,電漿產生氣體和/或電漿激發物質可以穿過在面板217中的複數個孔(如所示在圖2B中),以用於更均勻地輸送到第一電漿區域215中。
示例性配置可以包括使氣體入口組件205通向氣體供應區域258,氣體供應區域258透過面板217與第一電漿區域215隔開,使得氣體/物質流過面板217中的孔而進入第一電漿區域215。可以選擇結構和操作特徵以防止電漿從第一電漿區域215顯著回流回到供應區域258、氣體入口組件205和流體供應系統210。面板217(或腔室的導電頂部)和噴頭225被示為具有位於特徵之間的絕緣環220,這允許相對於噴頭225和/或離子抑制器223將AC電位施加到面板217。絕緣環220可以定位在面板217和噴頭225和/或離子抑制器223之間,使得電容耦合電漿能夠在第一電漿區域中形成。擋板可以另外位於第一電漿區域215中,或者以其他方式與氣體入口組件205耦合,以影響流體透過氣體入口組件205流入該區域。在一些實施例中,可以使用額外的電漿源,包括圍繞腔室延伸或與腔室流體連通的電感耦合電漿源,以及額外的電漿產生系統。
離子抑制器223可以包括板或其他幾何形狀,其在整個結構中限定複數個孔,這些孔被配置為抑制帶離子電荷的物質遷移出第一電漿區域215,同時允許不帶電的中性或自由基物質穿過離子抑制器223進入抑制器和噴頭之間的活性氣體輸送區。在實施例中,離子抑制器223可包括具有多種孔配置的穿孔板。這些不帶電的物質可能包括高反應性物質,它們與反應性較低的載氣一起透過孔傳輸。如上所述,可以減少離子物質透過孔的遷移,並且在一些情況下完全抑制。控制穿過離子抑制器223的離子物 質的量可以有利地提供對與下面的晶片基板接觸的氣體混合物的增強控制,繼而可以增強對氣體混合物的沉積和/或蝕刻特性的控制。例如,調整氣體混合物的離子濃度可以顯著改變其蝕刻選擇性,例如SiNx:SiOx蝕刻比、Si:SiOx蝕刻比等。在執行沉積的替代實施例中,它還可以改變介電材料的共形到可流動類型沉積的平衡。
離子抑制器223中的複數個孔可以被配置成控制活化氣體(即離子、自由基和/或中性物質)通過離子抑制器223的通路。例如,可以控制孔的深寬比,或孔直徑與長度,和/或孔的幾何形狀,使得透過離子抑制器223的活化氣體中帶離子電荷的物質的流動減少。離子抑制器223中的孔可包括面向第一電漿區域215的錐形部分和面向噴頭225的圓柱形部分。圓柱形部分的形狀和尺寸可以被設計成控制傳遞到噴頭225的離子物質的流動。可調節的電偏壓也可以施加到離子抑制器223作為附加手段來控制離子種類透過抑制器的流動。
離子抑制器223可以起到減少或消除從電漿產生區域行進到基板的離子帶電物質的量的作用。不帶電的中性物質和自由基物質仍可透過離子抑制器中的開口與基板反應。應當注意,在實施例中可以不完全消除圍繞基板的反應區中的帶離子電荷的物質。在某些情況下,離子物質旨在到達基板以執行蝕刻和/或沉積處理。在這些情況下,離子抑制器可能有助於將反應區域中離子物質的濃度控制在有助於該處理的位準。
與離子抑制器223結合的噴頭225可以允許存在於第一電漿區域215中的電漿避免直接激發基板處理區域233中的氣體,同時仍然允許激發的物質從第一電漿區域215行進到基板處理區域233中。以此方式,腔室可經配置以防止電漿接觸正被蝕刻的基板255。這可以有利地保護在基板上圖案化的各種複雜結構和膜,如果直接接觸產生的電漿,這些結構和膜可能被損壞、錯位或以其他方式翹曲。另外,當允許電漿接觸基板或接近基板位準面時,氧化物物質蝕刻的速率可能增加。因此,如果材料的暴露區域是氧化物,則可以透過使電漿遠離基板來進一步保護該材料。
處理系統還可以包括與處理腔室電耦合的電源240以向面板217、離子抑制器223、噴頭225和/或基座265提供電力以在第一電漿區域215或處理區域233中產生電漿。電源可配置成根據所執行的處理向腔室輸送可調節量的功率。這種配置可以允許可調諧電漿用於正在執行的處理中。與通常具有開或關功能的遠端電漿單元不同,可調諧電漿可以被配置為將特定量的功率輸送到第一電漿區域215。這又可以允許發展特定的電漿特性,使得前驅物可以以特定方式解離以增強由這些前驅物產生的蝕刻輪廓。
可在噴頭225上方的第一電漿區域215或噴頭225下方的基板處理區域233中點燃電漿。電漿可以存在於第一電漿區域215中以從例如含氟前驅物或其他前驅物的 流入產生自由基前驅物。通常在射頻(「RF」)範圍內的AC電壓可以施加在處理腔室的導電頂部(例如面板217)與噴頭225和/或離子抑制器223之間,以在沉積期間點燃第一電漿區域215中的電漿。RF電源可以產生13.56MHz的高RF頻率,但也可以單獨產生其他頻率或與13.56MHz頻率結合產生其他頻率。
圖2B顯示影響透過面板217的處理氣體分佈的特徵的詳細視圖。如圖2A和2B所示,面板217、冷卻板203和氣體入口組件205相交以限定氣體供應區域258,處理氣體可以從氣體入口205輸送到該氣體供應區域258中。氣體可以填充氣體供應區域258並透過面板217中的孔259流到第一電漿區域215。孔259可以被配置為以基本上單向的方式引導流,使得處理氣體可以流入處理區域233,但是可以部分地或完全地防止在穿過面板217之後回流到氣體供應區域258中。
在處理腔室部分200中使用的諸如噴頭225的氣體分配組件可以稱為雙通道噴頭並且在圖3中描述的實施例中另外詳細說明。雙通道噴頭可提供允許在處理區域233外分離蝕刻劑的蝕刻處理,以在輸送到處理區域之前提供與腔室組件和彼此之間的有限的相互作用。
噴頭225可包括上板214和下板216。板可以彼此耦合以在板之間限定容積218。板的連接可以提供穿過上板和下板的第一流體通道219,以及穿過下板216的第二流體通道221。所形成的通道可配置為提供從容積218透過下 板216而僅經由第二流體通道221的流體地進出,並且第一流體通道219可與板和第二流體通道221之間的容積218流體地隔離。容積218可透過噴頭225的一側流體地進出。
圖3是根據實施例的與處理腔室一起使用的噴頭325的底視圖。噴頭325可以對應圖2A所示的噴頭225。顯示第一流體通道219的視圖的通孔365可以具有複數種形狀和配置,以便控制和影響前驅物流過噴頭225。顯示第二流體通道221的視圖的小孔375可以基本上均勻地分佈在噴頭的表面上,甚至在通孔365之間,並且可以有助於在前驅物離開噴頭時提供比其他配置更均勻的前驅物混合。
先前討論的腔室可用於執行示例性方法,包括蝕刻方法,儘管任何數量的腔室可被配置為執行本技術的實施例中使用的一或更多個態樣。轉至圖4,其示出了根據本技術實施例的方法400中的示例性操作。方法400可包括在該方法開始之前的一或更多個操作,包括前端處理、沉積、蝕刻、拋光、清潔或可在所述操作之前執行的任何其他操作。該方法可以包括多個可選操作,這些可選操作可能與根據本技術的實施例的方法的一些實施例具體相關聯或不具體相關聯。例如,描述許多操作是為了提供更廣泛的執行處理範圍,但對技術而言並不關鍵,或者可以透過替代方法執行,如下面將進一步討論的那樣。方法400可以描述圖5A-5D中示意性示出的操作,其圖示將結合方法400的操作進行描述。應當理解,附圖僅示出部分示意圖,並 且基板可以包含任意數量的附加材料和具有如圖所示的各種特性和態樣的特徵。
方法400可能涉及或可能不涉及將半導體結構發展為特定製造操作的可選操作。應當理解,方法400可以在任何數量的半導體結構或基板505上執行,如圖5A中所示,包括可以在其上執行金屬材料去除操作的示例性結構。如圖5A中所示的基板505可以具有覆蓋基板的複數個堆疊層,基板可以是矽、矽鍺或其他基板材料。這些層可以包括IPD層,該IPD層包括介電質材料510(其可以是氧化矽),在交替的介電質材料510層中具有佔位材料520,例如,其可以是氮化矽或多晶矽。佔位材料520可以是或包括將被移除以在後續操作中產生單獨記憶體單元的材料。儘管僅用7層材料進行說明,但示例性結構可包括包含數百層材料的任何層數,並且應理解,附圖僅為說明本技術的態樣的示意圖。可以是記憶孔或孔徑的溝槽530可以透過堆疊結構被限定到基板505的位準。溝槽530可以由側壁限定,側壁可以由介電質材料510和佔位材料520的交替層組成。例如,側壁可以是孔或記憶孔的半徑。儘管僅說明了單個記憶孔結構,但應瞭解,示範性基板可包含橫跨整個基板的任何數目的記憶體結構。
在佔位材料內形成凹陷之後,金屬540可以形成或沉積在結構上。如圖所示,金屬540可以在結構周圍延伸並在記憶孔和佔位材料中形成的每個凹陷內延伸。金屬可以是鉬、鎢或可用於3D NAND或其他半導體結構的多種 其他金屬。然後可以將基板安置在處理腔室內,例如上述腔室200,並且可以執行方法400以蝕刻高深寬比特徵內的金屬。例如,根據本技術的特徵可以以結構的任何深寬比或高寬比為特徵,儘管在一些實施例中材料可以以更大的深寬比為特徵,這可能不允許利用習知的如上所述的技術或方法進行充分蝕刻。例如,在一些實施例中,示例性結構(例如作為非限制性示例的記憶孔)的深寬比可以大於或約為10:1、大於或約為20:1、大於或約為30:1、大於或約為40:1、大於或約為50:1、大於或約為100:1,或更大。
可以執行方法400以蝕刻或以其他方式去除金屬540的部分,這可以將金屬分離而成為結構的凹陷部分中,如圖所示。可以執行該方法以促進透過結構的輪廓的控制,並改善蝕刻特性,例如結構的凹陷部分內的金屬的表面光滑度。儘管根據本技術的一些實施例的蝕刻處理本身可用於控制沿每個部分的輪廓或蝕刻範圍,但隨著深寬比在包括記憶體結構的結構中繼續增加,負載問題可能持續存在,例如由於與更深入特徵的材料相比,增加的處理時間可能會使更高處的材料暴露在特徵中更長的時間。因此,本技術在一些實施例中可包括一或更多個沉積操作,其可用於沿著金屬540的暴露部分選擇性地沉積含金屬材料或含碳材料。儘管下面討論的過程將包括在兩個不同處理階段的兩個沉積操作,但是應當理解,根據本技術所包 含的實施例,可以去除、重複或包括任一沉積。因此,申請專利範圍不限於必須包括兩者或任一沉積操作。
例如,方法400可以包括在操作402處沿著溝槽530內的經暴露金屬540的表面沉積材料545(例如含金屬材料或含碳材料),這可以是如前所述的高深寬比結構。在經暴露金屬540的分離之前沉積材料545的實施例中,如下面進一步討論的,材料545可沿著經暴露金屬540的所有暴露表面沉積,其可延伸到溝槽530上方和/或延伸到溝槽530中。含金屬材料可以是與經暴露金屬540相同或不同的金屬,例如鎢、鉬或任何其他金屬,並且如果沉積含碳材料,則該材料可以是碳或任何其他含碳材料。
材料可以透過電漿增強沉積來沉積,儘管在一些實施例中可以熱地執行沉積,並且半導體處理區域可以在沉積期間保持無電漿。在一些實施例中,沉積操作中的一或更多個可在與後續蝕刻操作相同或不同的腔室中執行。另外,沉積可以在第一腔室中進行並且蝕刻可以在第二腔室中進行,它們可以都在相同的平台上,例如上面討論的系統100上的兩個腔室。透過利用不同的腔室,可以執行電漿沉積,或者可以更容易地執行不同溫度的處理而不需要調整蝕刻腔室內的處理條件。然而,透過執行熱沉積,當含金屬材料沉積在經暴露的金屬540上時,可以選擇性地在金屬上執行沉積。
沉積可以在結構內的金屬的暴露表面上形成梯度厚度,其中如圖5A所示,與沿著高深寬比內更深的表面相 比,可以沿著通向高深寬比結構的開口附近的表面形成更大厚度的材料545。用於沉積的前驅物可包括可促進含金屬材料或含碳材料沉積的任何前驅物。例如,用於沉積的示例性前驅物可以包括含鎢前驅物(例如六氟化鎢或四氟化鎢)、含鉬前驅物(例如五氟化鉬、五氯化鉬、氟氧化鉬或氯氧化鉬),以及任何其他含鎢或含鉬材料可促進經暴露金屬540上的沉積。
為了促進可以熱產生或透過電漿增強沉積產生的反應,可以輸送含氫材料以充當還原劑以允許進行金屬沉積。示例性含氫材料可包括雙原子氫、乙矽烷或任何其他含氫材料。因為增加的前驅物比例可以接觸溝槽530內較高的表面而不是溝槽內較低的表面,所以可以產生沉積梯度,這可以允許沿表面的可控沉積。另外,可以沉積含碳材料而不是含金屬材料,並且含碳前驅物可以包括可以與含氫還原劑一起流動的含碳和鹵素的前驅物。根據本技術的實施例,可以使用任何碳和氟或碳和氯前驅物。
無論是否執行初始沉積,方法400都可以包括蝕刻溝槽或特徵內的經暴露金屬540。在操作405,蝕刻可以包括使含氟前驅物和諸如保護氣體的輔助氣體流入腔室的處理區域中,在其中基板是受保持的。含氟前驅物和輔助氣體可以在操作410接觸基板,並且在操作415蝕刻高深寬比結構內的金屬。如圖5B所示,金屬540可以沿著記憶孔的側壁以及沿著結構的頂表面凹陷在溝槽內。雖然傳統技術可以創建類似於V形輪廓的從頂部到底部的加載,其中如上 所述在結構的頂部蝕刻更多材料,但本技術也可以提供基本或基本筆直的輪廓,且作為倒V形輪廓,其中進一步進入結構的材料可能比結構頂部的材料蝕刻得更多,這可能允許形成一系列從頂部到底部的加載值。這種控制可以透過蝕刻沉積材料(連同金屬540),以及透過在蝕刻期間使用輔助氣體來提供,如下所述。由於增加停留時間和暴露,蝕刻可能類似地更容易發生在溝槽的更高處,透過增加透過沉積去除的材料,穿過溝槽的蝕刻的整體輪廓可以是均勻的、V形的或倒置的V形輪廓。在一些實施例中,沉積可以與貫穿本公開發明討論的蝕刻操作中的一或更多個一起循環以在溝槽內產生期望的輪廓。
作為提供這種控制的附加態樣,本技術可以利用輔助氣體,輔助氣體可以幫助限制或降低結構頂部的蝕刻或蝕刻速率。例如,含氟前驅物和輔助氣體可以在接觸特徵內的金屬之前沿著其中形成有記憶孔的外頂表面接近金屬540。在沒有可以是保護氣體的輔助氣體的情況下,蝕刻可以在蝕刻可以更接近結構的底部開始很久之前就在結構的頂部開始。然而,透過併入輔助氣體,輔助氣體分子可佔據表面區域或沿著金屬540的位置,這可降低蝕刻速率。例如,雖然氟可以在不顯眼的位置繼續與金屬結合,但是這些位置可能至少部分地被輔助氣體阻擋。示例性金屬(例如鉬或鎢),可能不具有與氟一對一的去除特性,而是在去除金屬原子之前可以結合三個、四個或六個氟原 子。因此,透過使用保護氣體,可以控制、減少或限制氟和金屬之間的這些相互作用,這可以促進蝕刻速率的控制。
然而,隨著輔助氣體相對於含氟前驅物的流速比增加,蝕刻速率可能繼續降低,並且最終輔助氣體分子將在每個位置中斷蝕刻處理,阻止進一步蝕刻。因此,在一些實施例中,含氟前驅物與輔助氣體的流速比可保持大於或約為1:1,這可確保在結構頂部進行一定量的蝕刻。例如,含氟前驅物與輔助氣體的流速比可保持在大於或約1.2:1,並且可保持在大於或約1.4:1、大於或約1.6:1、大於或約為1.8:1、大於或約為2.0:1、大於或約為2.2:1、大於或約為2.4:1、大於或約為2.6:1、大於或約為2.8:1、大於或約3.0:1、大於或約4.0:1、大於或約5.0:1、大於或約6.0:1、大於或約7.0:1、大於或約8.0:1、大於或約9.0:1,大於或約為10.0:1,或更大。另外,可以使用第一流速比,並且可以隨著蝕刻處理的進行而被調整到不同於隨著蝕刻處理的進行的第一流速比的第二流速比。在流動操作期間,在一些實施例中,任何提到的比或涵蓋在所列範圍內的任何比可用於第一流速比或第二流速比。
在一些實施例中,含氟前驅物和/或輔助氣體可以在接觸基板上的金屬之前被電漿增強。電漿可以在處理腔室的遠端區域中形成,或者可以在局部形成。儘管可以產生基板級電漿,但是在一些實施例中電漿可以是遠端電漿,其可以保護暴露的基板材料免受由於基板級電漿可能 發生的離子轟擊。無論電漿增強與否,材料都可以在結構的頂部接觸金屬540,然後可以流過該結構進入記憶孔中。蝕刻處理可以繼續,直到朝向橫向延伸且垂直於記憶孔的方向延伸的凹陷去除金屬。儘管可以繼續該處理以使金屬進一步凹陷到每個凹陷中並透過記憶孔分離單元,但是在一些實施例中,方法400可以包括輔助蝕刻處理以完全分離單元並在凹陷內橫向蝕刻。由於記憶孔可能延伸到幾微米深,蝕刻劑可能會失去流進結構深處並橫向進入凹陷特徵的能量,這可能會進一步減慢蝕刻速度,並且可能降低對暴露在幾個側面的介電材料的暴露表面的選擇性。因此,在第二操作中,可以調整蝕刻劑以執行第二凹陷操作。
例如,在第一蝕刻操作之後可以淨化或不淨化處理區域。然後可在可選操作420中由含氧前驅物形成電漿,並流至基板。同樣,電漿可以在處理腔室的遠端部分中形成,或者在基板位準上局部形成。在可選的操作425,可以使先前在第一蝕刻處理中打開的經暴露金屬與含氧前驅物的電漿流出物接觸。在金屬被氧化之後,第二含氟前驅物可以在可選操作430中流入處理腔室中。在一些實施例中,電漿可以在第二含氟前驅物的輸送之前熄滅,並且處理腔室可以在第二含氟前驅物的輸送期間保持無電漿。第二含氟前驅物可與第一含氟前驅物相同或不同,但在一些實施例中第二前驅物可在處理條件下更容易提供氟。第二含氟前驅物可以接觸氧化材料,並且可以在可選操作435 中去除氧化金屬。如圖5C所示,金屬540可以在單元之間完全分離,並且可以沿著垂直記憶孔凹陷在存取位置內。
當在單元內進行凹陷時,或者就在之前,可以進行沉積操作,其與上述材料545的沉積相比可以是相同的或不同的沉積。例如,可以在單元之間的分離之後執行一或更多個沉積操作,這可以沉積材料550。透過執行含金屬前驅物的熱還原,材料550可以選擇性地沉積在金屬540上。因此,如上所述,沉積可以在不同的階段用不同的沉積處理進行。例如,如果可以用材料545執行初始沉積,則沉積可以被熱激發或電漿增強,因為所有暴露的表面可以是金屬540。然而,在單元分離之後,可能包括額外的暴露材料,在其上可能需要或可能不需要材料550沉積。透過根據本技術的一些實施例執行熱沉積,材料550可以選擇性地沉積在金屬540的暴露表面上。如圖5C所示,當執行第二沉積操作時,基於特徵內的流動,沉積可再次優先發生在溝槽中較高處的材料上。因此,沉積可以更快地增強材料蝕刻的覆蓋。該沉積還可以與貫穿本公開發明討論的任何蝕刻操作中的一或更多個一起循環任意次數。透過根據本技術的實施例執行沉積和蝕刻順序,可以產生更均勻的金屬540輪廓,如圖5D所示。
在一些實施例中,殘餘氟可以在第一蝕刻處理和/或第二蝕刻處理之後結合到剩餘金屬中。因此,在一些實施例中,可在可選操作440執行後處理操作,其可在第一蝕刻處理之後發生,例如在操作415之後,在第二蝕刻處 理之後,例如在操作440,或在每個蝕刻處理之後。例如,諸如三氯化硼的含氯前驅物可以流入處理腔室中。在實施例中,含氯前驅物可以或可以不被電漿增強,並且如果電漿被增強,則電漿可以在處理腔室內遠端或原位產生。在本技術的一些實施例中,含氯前驅物可以接觸基板,並且可以與剩餘的金屬表面相互作用以清除任何殘留的氟。
在一些實施例中,示例性含氟前驅物可以包括氟或氯中的一或更多種,以及任何其他鹵素。可以使用的一些示例性前驅物可以包括鹵化物,包括氟化氫、三氟化氮或任何有機氟化物、雙原子氟、三氟化溴、三氟化氯、六氟化硫、二氟化氙、三氯化硼、五氯化鎢、六氯化鎢或任何其他含氟前驅物。也可以包括含氯前驅物或代替含氟前驅物,並且可以使用三氯化硼、雙原子氯、三氟化氯或其他含氯前驅物。前驅物也可以以多種組合一起流動。例如,如前所述,第二含氟前驅物相對於第一含氟前驅物可以更容易地提供氟。作為前驅物的一個非限制性示例,第一含氟前驅物可以是或包括三氟化氮,而第二含氟前驅物可以是或包括六氟化鎢或六氟化硫。
前驅物也可以與任何數量的附加前驅物或載氣一起流動,包括雙原子氫或含氫前驅物、氮氣、氬氣、氦氣或任何數量的附加材料,儘管在一些實施例中前驅物可以是僅限於控制副反應或可能影響選擇性的其他態樣。在蝕刻處理期間提供的輔助氣體可以包括含氧前驅物和/或含氮前驅物。例如,非限制性含氧前驅物可包括雙原子氧、 臭氧、水、醇、過氧化氫、一氧化二氮、一氧化氮或任何其他含氧材料。例如,非限制性含氮前驅物可包括雙原子氮或任何還包括氮的含氧前驅物。
處理條件也可能影響在方法400中執行的操作。在實施例中,方法400的每個操作可在恆定溫度期間執行,而在一些實施例中,可在不同操作期間調整溫度。在一些實施例中,一或更多個沉積操作可以在與任何蝕刻操作相同或不同的溫度下在相同或兩個不同的腔室中執行。例如,在方法400期間基板、基座或任何腔室溫度可保持在大於或約150℃、大於或約200℃、大於或約250℃、大於或約300℃、大於或約350℃、大於或約400℃、大於或約450℃、大於或約500℃,或更高,這可以促進蝕刻以及沉積,包括熱沉積,操作。然而,在更高的溫度下,可能發生含氟材料的進一步離解,這可能產生更多的氟自由基。隨著氟自由基的量增加,保護氣體可能無法充分控制反應。因此,在一些實施例中,溫度可以保持在低於或約700℃,並且可以保持在低於或約650℃、低於或約600℃、低於或約550℃、低於或約500℃,或較低的溫度。類似地,在一些實施例中,一或更多個沉積操作可在高於可執行一或更多個蝕刻操作的第二溫度的第一溫度下發生。
在一些實施例中,該處理可以在各種壓力下發生,這可以促進在多個處理腔室中的任何一個中的操作。例如,該處理可以在能夠提供壓力的腔室內進行,該壓力可 以保持在大於或約1托,並且可以保持在大於或約2托、大於或約5托、大於或約10托、大於或約為50托、大於或約為100托、大於或約為200托,或更高。透過使用大於或約1托的壓力,可以促進蝕刻劑透過高深寬比結構的輸送。儘管電漿可用於如上所述的一或更多個操作中,但在一些實施例中可不使用電漿,並且可執行整個方法同時維持半導體處理腔室內的無電漿環境。當在一或更多個操作期間使用電漿流出物時,電漿功率可維持在小於約500W。透過維持較低的電漿功率,可控制濺射,並且可將相互作用限於更受控的化學反應,其可更好地限制蝕刻金屬的範圍,例如靠近結構的頂部。例如,這可以進一步便於控制沿著記憶孔的輪廓。因此,在一些實施例中,電漿功率可以保持在小於或約450W、小於或約400W、小於或約350W、小於或約300W、小於或約250W、小於或約約200W、小於或約150W、小於或約100W或更少。
透過利用如貫穿本技術所討論的前驅物和處理,用於3D NAND和其他半導體結構中的金屬可以從介電質材料(例如氧化矽)的部分之間被更均勻地蝕刻,同時限制氧化矽的損壞或去除,並保持改進的配置文件或從頂部到底部的加載值。例如,在本技術的一些實施例中,在第一蝕刻處理或第二蝕刻處理之後,頂部到底部的負載值可以保持在小於或約2:1,並且可以保持在小於或約1.8:1、小於或約為1.6:1、小於或約為1.5:1、小於或約為1.4:1、小於或約為1.3:1、小於或約為1.2:1、小於或約為1.1: 1,或約1.0:1,其指示在靠近結構頂部和靠近結構底部的位置處有等效蝕刻。透過使用術語「約」,本公開發明意在包含貫穿本技術所討論的形狀因素的測量限制(其可能無法提供完美的測量精度),儘管一般性決定的條件是可理解的。另外,在一些實施例中,可以如上所述進一步調整蝕刻處理以產生V形輪廓或倒V形輪廓,其中頂部到底部的負載值可以保持小於或約0.9:1,並且可以保持在小於或約0.8:1、小於或約0.7:1、小於或約0.6:1、小於或約0.5:1或更少。
在前面的描述中,出於解釋的目的,已經闡述了許多細節以便提供對本技術的各種實施例的理解。然而,對於本領域的技術人員來說顯而易見的是,某些實施例可以在沒有這些細節中的某些細節或具有附加細節的情況下被實踐。
已經公開了幾個實施例,本領域的技術人員將認識到,在不脫離實施例的精神的情況下可以使用各種修改、替代構造和等同物。此外,許多眾所周知的處理和元件沒有被描述以避免不必要地模糊本技術。因此,以上描述不應被視為限制本技術的範圍。此外,方法或處理可以被描述為順序的或分步驟的,但是應當理解,操作可以同時執行,或者以與列出的順序不同的順序執行。
在提供值範圍的情況下,應當理解,每個中間值(除非上下文另有明確規定,否則精確到下限單位的最小分數),也特別揭露該範圍的上限和下限之間的中間值。包含 任何規定值或規定範圍內的未規定中間值與該規定範圍內的任何其他規定或中間值之間的任何更窄範圍。這些較小範圍的上限和下限可以獨立地包括在該範圍內或排除在該範圍內,並且每個範圍(其中限值之一者有、兩者皆無或兩者皆有包括在較小的範圍內者)也包括在該技術內,而受制於任何明確排除的限值規定的範圍。如果所述範圍包括限值的一者或兩者,則還包括排除其中一個或兩個限值的彼等範圍。
如本文和所附請求項中使用的,單數形式「一」和「該」包括複數參考,除非上下文另有明確規定。因此,例如,提及「前驅物」包括複數個這樣的前驅物,提及「層」包括提及所屬技術領域具有通常知識者已知的一或更多層及其等效物,等等。
此外,當在本說明書和下文中使用詞語「包含」、「包括」、「含」、和「包括」時,請求項旨在指定所述特徵、整數、組件或操作的存在,但不排除存在或添加一或更多個其他特徵、整數、組件、操作、動作或群組。
400:方法
402:操作
405:操作
410:操作
415:操作
420:操作
425:操作
430:操作
435:操作
440:操作

Claims (20)

  1. 一種蝕刻方法,包括以下步驟: 在一基板上限定的一高深寬比結構內沿一經暴露金屬的表面沉積一含金屬材料或一含碳材料; 使一含氟前驅物與一輔助氣體流入一半導體處理腔室的一處理區域,其中該輔助氣體包括氧氣或氮氣,且其中該含氟前驅物與該輔助氣體的一流量比大於或約為1:1; 使一基板與該含氟前驅物及該輔助氣體接觸;和 在該高深寬比結構內蝕刻該經暴露的金屬。
  2. 如請求項1所述的蝕刻方法,還包括以下步驟: 形成該含氟前驅物與該輔助氣體的一電漿。
  3. 如請求項1所述的蝕刻方法,還包括以下步驟: 重複該方法至少一個週期。
  4. 如請求項1所述的蝕刻方法,其中該含金屬材料或該含碳材料被熱沉積,並且其中可將該含金屬材料或該含碳材料沉積在沿該高深寬比結構的一開口附近的表面比沿著該高深寬比結構內更深的表面的一更厚的厚度。
  5. 如請求項1所述的蝕刻方法,其中該高深寬比結構包括一3D NAND結構中的一記憶孔,並且其中該經暴露的金屬橫向延伸到垂直於該記憶孔而形成的凹陷中。
  6. 如請求項1所述的蝕刻方法,還包括以下步驟: 在蝕刻該經暴露的金屬之後,淨化該半導體處理腔室的該處理區域; 形成一含氧前驅物的一電漿;和 使該經暴露的金屬與該含氧前驅物的電漿流出物接觸以產生氧化金屬。
  7. 如請求項6所述的蝕刻方法,其中該含氟前驅物為一第一含氟前驅物,該方法還包括以下步驟: 使一第二含氟前驅物流入處理區域;和 使該氧化金屬與該第二含氟前驅物接觸。
  8. 如請求項7所述的蝕刻方法,其中在使該氧化金屬與該第二含氟前驅物接觸的同時保持該處理區域無電漿。
  9. 如請求項1所述的蝕刻方法,其中該沉積和該蝕刻均在該半導體處理腔室內進行,並且其中該處理區域在該沉積期間保持無電漿。
  10. 如請求項1所述的蝕刻方法,還包括以下步驟: 在蝕刻該經暴露的金屬之後,使一含氯前驅物流入該處理區域,其中該含氯前驅物清除殘留的氟。
  11. 如請求項1所述的蝕刻方法,其中在該高深寬比結構內蝕刻該經暴露的金屬後,一頂部到底部的負載值小於或約為1.5。
  12. 一種蝕刻方法,該方法包括以下步驟: 在一基板上限定的一高深寬比結構內沿一經暴露金屬的表面沉積一含金屬材料或一含碳材料; 使一第一含氟前驅物與一輔助氣體流入一半導體處理腔室的一處理區域; 使一基板與該第一含氟前驅物和該輔助氣體接觸,其中該基板包括一經暴露的金屬,且其中該基板在一3D NAND結構中限定一記憶孔,並且其中該經暴露的金屬橫向延伸到垂直於該記憶孔而形成的凹陷中; 蝕刻該記憶孔內的該經暴露金屬; 形成一含氧前驅物的一電漿; 使該經暴露的金屬與該含氧前驅物的電漿流出物接觸以產生氧化金屬; 將一第二含氟前驅物流入該半導體處理腔室的該處理區域;和 去除該氧化金屬。
  13. 如請求項12所述的蝕刻方法,其中該輔助氣體包括氧氣或氮氣。
  14. 如請求項12所述的蝕刻方法,其中該第一含氟前驅物與該輔助氣體的流量比大於或約為1∶1。
  15. 如請求項12所述的蝕刻方法,還包括以下步驟: 形成該第一含氟前驅物與該輔助氣體的一電漿。
  16. 如請求項12所述的蝕刻方法,其中該半導體處理腔室內的一溫度保持在約200℃至約500℃之間。
  17. 如請求項12所述的蝕刻方法,其中該沉積形成一含碳材料或包括鎢或鉬的一含金屬材料。
  18. 如請求項12所述的蝕刻方法,其中在使一第二含氟前驅物流入該半導體處理腔室的該處理區域時,保持該處理區域無電漿。
  19. 如請求項12所述的蝕刻方法,還包括以下步驟: 在蝕刻該經暴露的金屬之後,使一含氯前驅物流入該處理區域。
  20. 一種蝕刻方法,該方法包括以下步驟: 在一基板上限定的一高深寬比結構內沿一經暴露金屬的表面沉積一含金屬材料或一含碳材料; 將一第一含氟前驅物與一輔助氣體流入一半導體處理腔室的一處理區域,其中該輔助氣體包括氧氣或氮氣; 使一基板與該第一含氟前驅物和該輔助氣體接觸,其中該基板包括一經暴露的金屬,並且其中該基板限定一高深寬比結構; 在該高深寬比結構內蝕刻該經暴露的金屬; 使該經暴露的金屬與一含氧前驅物接觸以產生氧化金屬; 將一第二含氟前驅物流入該半導體處理腔室的該處理區域;和 去除該氧化的金屬,其中該方法在小於或約500℃的一腔室操作溫度下進行。
TW111138981A 2022-03-08 2022-10-14 高深寬比特徵中的金屬沉積及蝕刻 TWI837885B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/689,092 US20230290647A1 (en) 2022-03-08 2022-03-08 Metal deposition and etch in high aspect-ratio features
US17/689,092 2022-03-08

Publications (2)

Publication Number Publication Date
TW202336862A TW202336862A (zh) 2023-09-16
TWI837885B true TWI837885B (zh) 2024-04-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140213062A1 (en) 2013-01-25 2014-07-31 Daisuke Shimizu Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140213062A1 (en) 2013-01-25 2014-07-31 Daisuke Shimizu Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants

Similar Documents

Publication Publication Date Title
TW201826386A (zh) 用於高深寬比結構之移除方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
TWI781757B (zh) 用於移除含鋁薄膜之系統及方法
TWI817471B (zh) 高深寬比特徵中的金屬蝕刻
US20220351979A1 (en) Systems and methods for selective metal compound removal
US20230015080A1 (en) Metal oxide directional removal
TW202244981A (zh) 用於移除含氮化物膜的系統及方法
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
US11328909B2 (en) Chamber conditioning and removal processes
US20230290647A1 (en) Metal deposition and etch in high aspect-ratio features
JP7483933B2 (ja) 窒化物含有膜除去のためのシステム及び方法
TWI804054B (zh) 用於移除含鎢膜的系統及方法
JP2024519207A (ja) 高アスペクト比特徴における金属エッチング
CN117597769A (zh) 过渡金属氮化物材料的选择性移除