KR20110050422A - 탄소계 저항률 스위칭 물질과 이를 형성하는 방법 - Google Patents

탄소계 저항률 스위칭 물질과 이를 형성하는 방법 Download PDF

Info

Publication number
KR20110050422A
KR20110050422A KR1020117000195A KR20117000195A KR20110050422A KR 20110050422 A KR20110050422 A KR 20110050422A KR 1020117000195 A KR1020117000195 A KR 1020117000195A KR 20117000195 A KR20117000195 A KR 20117000195A KR 20110050422 A KR20110050422 A KR 20110050422A
Authority
KR
South Korea
Prior art keywords
carbon
layer
conductor
graphite
forming
Prior art date
Application number
KR1020117000195A
Other languages
English (en)
Inventor
후이웬 쑤
시잉 첸
로이 이. 쉐얼라인
얼-쑤안 핑
탄메이 쿠마르
알퍼 일크바하
Original Assignee
쌘디스크 3디 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쌘디스크 3디 엘엘씨 filed Critical 쌘디스크 3디 엘엘씨
Publication of KR20110050422A publication Critical patent/KR20110050422A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/02Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • H10N70/8265Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices on sidewalls of dielectric structures, e.g. mesa-shaped or cup-shaped devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors
    • H10N70/8845Carbon or carbides
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0014RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/02Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change
    • G11C13/025Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change using fullerenes, e.g. C60, or nanotubes, e.g. carbon or silicon nanotubes
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/10Resistive cells; Technology aspects
    • G11C2213/19Memory cell comprising at least a nanowire and only two terminals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/35Material including carbon, e.g. graphite, grapheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/72Array wherein the access device being a diode

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

탄소계 저항률 스위칭 가능 물질을 포함하는 메모리 장치와, 상기 메모리 장치를 형성하는 방법이 제공되고, 방법은 탄화수소 화합물과 캐리어 기체를 포함하는 처리 기체를 처리 챔버에 도입하는 단계와, 처리 챔버 내 기판 위에 탄소계 저항률 스위칭 물질 층을 증착하기 위해 처리 기체의 플라즈마를 생성하는 단계를 포함한다. 많은 추가 면이 제공된다.

Description

탄소계 저항률 스위칭 물질과 이를 형성하는 방법{CARBON-BASED RESISTIVITY-SWITCHING MATERIALS AND METHODS OF FORMING THE SAME}
관련 출원에 대한 상호 참조
본 출원은 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "Carbon-Based Resistivity-Switching Materials And Methods Of Forming The Same"(Docket No. MXA-294P) 명칭으로 2008년 7월 8일에 출원된 미국 가특허 출원 번호 61/078,924의 이익을 청구한다.
본 출원은 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "Damascene Integration Methods For Graphitic Films In Three-Dimensional Memories And Memories Formed Therefrom"("'405 출원")(Docket No. MXD-247) 명칭으로 2009년 4월 9일에 출원된 미국 특허 출원번호 12/421,405에 관한 것이다.
본 출원은 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "Carbon-Based Interface Layer For A Memory Device And Methods Of Forming The Same"("'315 출원")(Docket No. MXA-293) 명칭으로 2009년 5월 13일에 출원된 미국 가특허 출원 번호 12/465,315에 관한 것이다.
본 출원은 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "Carbon-Based Resistivity-Switching Materials And Methods Of Forming The Same"("'180 출원")(Docket No. MXA-325P) 명칭으로 2008년 7월 18일에 출원된 미국 가특허 출원 번호 61/082,180에 관한 것이다.
본 발명은, 비휘발성 메모리와 같은 마이크로전자 구조에 관한 것이고, 보다 구체적으로는 상기 메모리에 사용하기 위한 것과 같은 탄소계 저항률 스위칭 물질과 이를 형성하는 방법에 관한 것이다.
가역 저항 스위칭 소자로부터 형성된 비휘발성 메모리가 공지되어 있다. 예를 들어, 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 2005년 5월 9일에 출원된 "Rewriteable Memory Cell Comprising A Diode And A Resistance-Switching Material" 명칭의 미국 특허 출원번호 11/125,939는 산화금속 또는 질화금속과 같은 가역 저항률 스위칭 물질과 직렬로 결합된 다이오드를 포함하는 재기록 가능 비휘발성 메모리 셀을 기술한다.
특정 탄소계 필름은 가역 저항률 스위칭 특성을 나타내어 이러한 필름을 3차원 메모리 어레이 내에 집적화하기 위한 후보가 되게 할 수 있다는 것도 알려져 있다. 예를 들어, 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "Memory Cell That Employs A Selectively Fabricated Carbon Nano-Tube Reversible Resistance-Switching Element And Methods Of Forming The Same" 명칭으로 2007년 12월 31일 출원된 미국 특허 출원번호 11/968,154는 탄소와 같은 탄소계 가역 저항률 스위칭 가능 물질과 직렬로 결합된 다이오드를 포함하는 재기록 가능 비휘발성 메모리 셀을 기술한다.
그러나, 메모리 장치에 탄소계 저항률 스위칭 가능 물질을 집적화하는 것은 어렵고, 탄소계 가역 저항률 스위칭 가능 물질을 채용한 메모리 장치를 형성하는 개선된 방법이 바람직하다.
발명의 제 1 면에서, 메모리 장치 형성 방법에 있어서, (1) 탄화수소 화합물과 캐리어 기체를 포함하는 처리 기체를 처리 챔버에 도입하는 단계; 및 (2) 상기 처리 챔버 내 기판 위에 탄소계 저항률 스위칭 물질 층을 증착하기 위해 상기 처리 기체의 플라즈마를 생성하는 단계를 포함하는, 메모리 장치 형성 방법이 제공된다.
발명의 제 2 면에서, (1) 제 1 도체; (2) 상기 제 1 도체 위에 배치되고 이와 직렬이고 흑연 나노결정자를 포함하는 탄소계 저항률 스위칭 가능 물질 층; 및 (3) 상기 탄소계 저항률 스위칭 가능 물질 층 위에 배치되고 이와 직렬의 제 2 도체를 포함하는, 마이크로전자 구조가 제공된다.
발명의 제 3 면에서, (1) 제 1 도체를 형성하는 단계; (2) 상기 제 1 도체 위에 배치되고 이와 직렬이고 흑연 나노결정자를 포함하는 탄소계 저항률 스위칭 가능 물질 층을 형성하는 단계; 및 (3) 상기 탄소계 저항률 스위칭 가능 물질 층 위에 배치되고 이와 직렬의 제 2 도체를 형성하는 단계를 포함하는, 마이크로전자 구조 형성 방법이 제공된다.
이 발명의 다른 특징 및 면은 다음 상세한 설명, 첨부한 청구항 및 첨부한 도면으로부터 보다 완전하게 명백해지게 될 것이다.
본 발명은, 탄소계 가역 저항률 스위칭 가능 물질을 채용한 메모리 장치를 형성하는 개선된 방법을 제공하는 효과를 갖는다.
본 발명의 특징은 동일 구성요소에 동일 참조부호를 사용한 다음의 도면에 관련하여 고찰된 다음의 상세한 설명으로부터 더 명백하게 이해될 수 있다.
도 1은, 본 발명에 따른 메모리 셀을 나타낸 도면.
도 2는, 본 발명에 따른 방법의 흐름도.
도 3은, 본 발명에 따라 형성된 탄소계 스위칭 가능 층의 측단면도.
도 4는, 본 발명에 따라 제공된 금속-절연체-금속 탄소계 구조의 측단면도.
도 5는, 다이오드와 직렬의 다마센 집적에 의해 형성되고 본 발명에 따라 제공된 탄소계 구조의 측단면도.
도 6은, 본 발명에 따라 제공된 모노리식 3차원 메모리 어레이의 사시도.
그래핀(graphene), 비정질 탄소 함유 마이크로결정 및/또는 나노결정 그래핀, 및 그외 흑연(graphitic) 탄소 필름 등으로 제한되는 것은 아니지만, 이를 포함하는 임의의 탄소계(C-based)("C계") 필름은 마이크로전자 비휘발성 메모리를 형성하기 위해 사용될 수 있는 가역 저항률 스위칭 특성을 나타낼 수 있다. 그러므로, 이러한 필름은 3차원 메모리 어레이 내에 집적하기 위한 후보이다. 예를 들어, CNT 물질은 실험실 규모의 장치에서 온 상태와 오프 상태 사이에 100x 분리와 중간 내지 고 범위 저항 변화를 갖는 메모리 스위칭 특성을 나타내었다. 온 상태와 오프 상태 사이에 이러한 분리는 CNT 물질을, 수직 다이오드, 박막 트랜지스터 또는 그외 스티어링 소자와 직렬의 CNT 물질을 사용하여 형성된 메모리 셀을 위해 사용 가능한 후보가 되도록 한다.
전술한 예에서, 2개의 금속 또는 도전성 층 사이에 개재된 탄소계 저항률 스위칭 물질로부터 형성된 금속-절연체-금속("MIM") 적층(stack)은 메모리 셀을 위한 저항-변화 물질로 작용할 수 있다. MIM 메모리 구조에서, 각각 "M"은 금속 전극 또는 그외 도전성 층을 나타내며, "I"는 데이터 상태를 저장하기 위해 사용되는 절연체 유형의 층을 나타낸다. 또한, 탄소계 MIM 적층은, 예를 들어, '154 출원에 기술된 바와 같이 판독-기록 가능 메모리 장치를 생성하기 위해 다이오드 또는 트랜지스터와 직렬로 집적될 수 있다.
도 1은 본 발명에 따른 메모리 셀(100)의 개략도이다. 메모리 셀(100)은 스티어링 소자(104)에 결합된 C계 가역 저항 스위칭 소자(102)를 포함한다. 예를 들어, 도 4에 MIM 적층과 같은 C계 저항률 스위칭 소자(102)는 메모리 셀(100)을 형성하기 위해 도 5에 다이오드(510)와 같은 스티어링 소자(104)와 직렬로 놓일 수 있다. 스티어링 소자(104)는 박막 트랜지스터("TFT"), 다이오드, 또는 가역 저항 스위칭 소자(102)에 걸리는 전압 및/또는 이를 통하는 전류 흐름을 선택적으로 제한하여 비-오믹 전도를 나타내는 또 다른 적합한 스티어링 소자를 포함할 수 있다.
본 발명의 예시적인 실시예에 따라, 방법과 장치는 MIM 적층에 탄소계 저항률 스위칭 물질을 갖는, 메모리 장치와 같은 마이크로전자 구조를 포함할 수 있다. 탄소계 저항률 스위칭 물질은 플라즈마 인핸스드 화학 증기 증착("PECVD")을 사용하여 형성될 수 있다. 탄소층은 비정질일 수 있으며 탄소계 스위칭 가능 물질을 포함한다. 탄소계 스위칭 가능 물질은 나노미터 크기 또는 이보다 큰 영역의 결정 그래핀{여기에서는 "흑연 나노결정자(nanocrystallite)"라 함}을 포함할 수 있다. MIM은 메모리 셀을 형성하기 위해, 다이오드와 같은 스티어링 소자와 직렬로 집적될 수 있다.
탄소계 저항률 스위칭 가능 물질은, CNT, 그래핀, 흑연, 비정질 탄소, 흑연 탄소 및/또는 다이아몬드-유사 탄소를 포함한, 많은 형태의 탄소를 포함할 수 있다. 탄소계 저항률 스위칭 물질의 특성은 탄소-탄소 결합 형태의 비를 특징으로 할 수 있다. 탄소는 전형적으로 탄소에 결합하여 sp2 결합{삼각 탄소-탄소 이중 결합("C=C")}을 형성하거나 sp3 결합{4면체 탄소-탄소 단일 결합("C-C")}을 형성한다. 각각의 경우, sp2 결합 대 sp3 결합의 비는 D 밴드 및 G 밴드를 평가함으로써 라만 분광기를 통해 결정될 수 있다. 일부 실시예에서, 물질의 범위는 M은 sp3 물질, N은 sp2 물질, y 및 z는 y + z = 1인 한, 0 내지 1의 임의의 분수값인 MyNz와 같은 비를 갖는 물질을 포함할 수 있다. 다이아몬드-유사 탄소는 비정질층을 형성하는 주로 sp3 결합된 탄소를 포함한다.
본 발명의 특징은 흑연 나노결정자를 갖는 비정질 탄소계 저항률 스위칭 물질을 형성하기 위해 PECVD 기술을 사용하는 것에 관한 것이다. PECVD 증착 온도는 약 300℃ 내지 900℃의 범위일 수 있다. 처리 기체는 하나 이상의 프리커서(precursor) 기체 및 캐리어 기체로도 알려진 하나 이상의 희석 기체를 포함할 수 있다. 프리커서 기체 소스는 다음으로 한정되는 것은 아니지만, 헥산, 사이클로-헥산, 아세틸렌, 단일 및 이중 단(short) 체인 탄화수소(예를 들면, 메탄), 각종 벤젠계 탄화수소, 다환 방향족, 단 체인 에스테르, 에테르, 알콜, 또는 이들의 조합을 포함할 수 있다. 어떤 경우에, 감소된 온도에서 성장을 촉진시키기 위해서 "시드(seeding)" 표면이 사용될 수도 있다 {예를 들면, 다른 두께가 사용될 수 있지만, 약 1 내지 100 옹스트롬의 철("Fe"), 니켈("Ni"), 코발트("Co") 등이 사용될 수 있다}.
탄소계 저항률 스위칭 가능 물질은 임의의 두께로 증착될 수 있다. 일부 실시예에서, 탄소계 저항률 스위칭 가능 물질은 다른 두께가 사용될 수 있을지라도, 약 50 내지 1000 옹스트롬일 수 있다. 여기에 기술된 바와 같은 장치 구성에 따라, 층 두께 범위는 100 ~ 400 옹스트롬, 400 ~ 600 옹스트롬, 600 ~ 800 옹스트롬, 및 800 ~ 1000 옹스트롬을 포함할 수 있다. 당업자는 그외의 두께 범위가 사용될 수 있음을 알 것이다.
플라즈마 - 인핸스드 화학 증기 증착( PECVD )
발명의 하나 이상의 실시예에서, 그래핀, 흑연 탄소, CNT, 마이크로결정 그래핀을 갖는 비정질 탄소, 및 그외 유사 탄소계 판독-기록 가능 저항률 스위칭 물질("C계 스위칭 가능 물질")을 형성할 수 있는 PECVD 공정이 제공된다. 이하 더욱 기술되는 바와 같이, 이러한 PECVD 공정은 통상의 열적 CVD 공정에 비해, 일부 실시예에서, (1) 감소된 열 버짓(thermal budget), (2) 넓은 공정 윈도우, (3) 조정 가능한 프로그래밍 전압 및 전류, 및 (4) 재단된(tailored) 계면을 포함해서 많은 이점을 제공할 수 있다.
감소된 버짓
C계 스위칭 가능 물질을 형성하기 위해 PECVD를 채용함으로써, 감소된 온도에서 소스 기체가 해리될 수 있어, C계 스위칭 가능 물질을 사용하여 형성되는 임의의 메모리 셀 및/또는 어레이의 열 버짓을 감소시킬 수 있다. 일부 실시예에서, C계 스위칭 물질은 약 55O℃ 이하의 온도에서 형성될 수 있어, 메모리 어레이 내에 구리, 알루미늄 또는 그외 유사한 물질이 채용될 수 있게 한다.
넓은 공정 윈도우
PECVD 필름 증착 동안, 기체 유속, 라디오-주파수("RF") 파워, 챔버 압력, 전극 간격 및/또는 공정 온도와 같은 플라즈마 처리 조건의 조작은 필름 특성 조작을 위한 넓은 윈도우를 제공할 수 있다. 예를 들어, 필름 밀도, 에치 선택도, 스트레스, 컨포멀리티(conformality)/단차 피복도, 나노결정도의 부피%("vol%"), 흑연 나노결정자 크기, 흑연 나노결정자 배향 등은 장치 제조 동안 채용하게 될 서로 다른 에칭 방식에 기초하여 조정될 수 있다.
조절 가능한 프로그래밍 전압 및 전류
필름 특성의 조정은 C계 필름의 프로그래밍 전압 및 전류를 조절(modulate)할 수 있다. 예를 들어, 나노결정도 및/또는 흑연 나노결정자 크기의 부피%의 변화는 프로그래밍 전압 및 전류를 변경시킬 수도 있다. 파라미터 견지에서, 이를테면 C계 물질 증착률을 감소시키고, 밀집 팩킹을 촉진시키며, 및/또는 C계 필름의 나노결정도를 제어함으로써, C계 필름의 구조를 제어하기 위해 고 주파수 RF 파워 밀도, 이온 에너지, 및 캐리어 기체의 선택이 사용될 수 있다.
흑연 나노결정도 달성
흑연 나노결정 필름의 형성은 증가된 히터 온도, 증가된 고 주파수 RF 파워 밀도, 유효 윈도우 내에서 이온 에너지의 제어, 및/또는 CxHy 프리커서의 증가된 희석을 수반할 수 있다. 이들 각각이 차례로 기술될 것이다.
히터 온도 및 프리커서의 희석을 증가시키는 것은 증착률을 감소시키고, 이에 따라 밀집 팩킹 및 구조의 질서화(ordering)를 촉진시킨다.
고 주파수 RF 파워 밀도를 증가시키는 것은 플라즈마 공정에 두 가지 주된 영향을 미치는데, 이온화 및 해리는 반응성 라디칼(다수 종) 및 반응성 이온(소수 종) 둘 다를 발생시킬 수 있다. 먼저, 고 주파수 RF 파워 밀도를 증가시키는 것은 플라즈마에 더 많은 에너지를 공급하여 특히 저 히터 온도에서 프리커서 분자를 반응성 종으로 더 효과적으로 분해할 것이다. 두 번째로, 고 주파수 RF를 증가시키는 것은 자동으로 이온 에너지 및 증착률을 증가시킬 것이다. 이온 에너지를 증가시키는 것은 표면 반응 장소를 활성화하여 나노결정도를 감소시킬 수도 있는 표면 반응을 촉진시킬 것이다. 그러므로, 유효 고 주파수 RF 파워 밀도 윈도우가 존재할 것이며, 이 윈도우 내에서 반응성 종은 저 히터 온도에서 더 효과적으로 분해되어 나노결정도를 증가시킬 수 있다. 반대로, 유효 윈도우를 초과한 고 주파수 RF 파워 밀도는 나노결정 상(phase) 탄소의 비정질화를 초래할 것이다.
고 주파수 RF 파워 밀도와 유사하게, 유효 이온 에너지 윈도우도 있다. 한편, 특정의 히터 온도에서 표면 장소를 활성화하기 위해 임계 이온 에너지가 요구된다. 한편, 과잉의 이온 에너지는 나노결정 탄소 필름을 비정질화할 것이다.
캐리어 기체에 의한 프리커서 기체의 희석 수준 및 캐리어 기체의 선택은 증착률 및 이에 따른 나노결정도에 영향을 미칠 것이다. 예를 들어, 헬륨("He")과 비교했을 때, 아르곤("Ar")은 증착률을 거의 두배만큼 증가시킬 것이며, 이에 따라, 나노결정도를 감소시킨다. 반대로, 수소("H2")는 캐리어 기체로서 작용할 뿐만 아니라, 에칭액으로도 작용하여, 증착률을 감소시키고 이에 따라 나노결정도를 촉진시킨다.
이온 힘을 조절하고/조절하거나 라디칼 농도를 감소시키는 것은 층 표면에 탄소-층-형성 종의 흐름을 감소시키고 탄소 원자가 평형 상태에 도달할 더 많은 시간을 허용할 수 있다. 그럼으로써 더 많은 흑연 나노결정이 형성될 수 있다. sp2/sp3 결합비 또한 증가할 수 있다. 반대로, 너무 많은 플라즈마 이온화는 흑연 나노결정도를 감소시키며 C계 필름의 비정질화를 증가시킬 수 있다(증착률을 극적으로 증가시킨다). 또한, 너무 많은 플라즈마 이온화는 C계 필름에 과도한 압축 응력을 유발하여 필름 "박리(peeling)" 또는 "균열(crakcing)"을 일으킬 수 있다.
C계 물질의 밀집 팩킹(packing)은 기판 표면에 물리적 타격에 의해 표면에 촉진될 수 있는데, 그 자체는 저 내지 중간 정도의 플라즈마 이온화에 의해 촉진될 수 있다. 반응성 이온은 표면을 활성화할 수 있고, 표면 반응률 및 표면 팩킹 밀도를 조절할 수 있다. 유사하게, 최적화된 플라즈마 이온 에너지는 더 질서화된 C계 구조를 생성할 수 있다. 그러나, 들어오는 반응성 이온 종의 농도는 반응성 라디칼의 농도에 의해 결정될 수 있다.
흑연 나노결정자 크기 조절
위에 언급된 바와 같이, 프로그래밍 전압 및 전류는 스위칭이 주로 그레인 경계에서 일어나기 때문에, 흑연 나노결정자 크기에 의해 영향을 받는다. 그레인 경계의 볼륨 퍼센티지는 흑연 나노결정자의 그레인 크기에 의해 결정된다. 그레인 크기는 히터 온도, CxHy 프리커서 기체의 희석, 고 주파수 RF 파워 밀도 및/또는 이온 에너지를 조정함으로써 제어될 수 있다.
히터 온도 및 CxHy 프리커서 기체의 희석을 증가시키는 것은 흑연 나노결정자 크기를 증가시킬 것이다. 반응성 종의 분해에서와 같이, 고 주파수 RF 파워 밀도를 유효 범위 이내로 유지하는 것은 요망되는 흑연 나노결정자 크기를 달성할 수 있다. 고 주파수 RF 파워가 유효 범위를 초과할 때, 흑연 나노결정자 크기는 감소될 것이다. 위에 언급된 유효 이온 에너지 윈도우 내에서, 이온 에너지는 바람직하게는, 과도한 이온 에너지가 흑연 나노결정도 및 흑연 나노결정자 크기를 감소시키게 되는 한, 표면 반응이 일어날 수 있게 표면 반응 장소를 활성화하는데 필요한 최소 레벨까지 감소된다.
예를 들어, 이온 에너지는 (a) 고 주파수 RF 파워 (10 MHz 내지 30 MHz의 주파수 범위), (b) 기판에 바이어스(예를 들면, 약 10 ~ 50V), (c) 저 주파수 RF(10 KHz 내지 약 1 MHz 범위의 주파수), (d) 이온화 기체 종{이를테면 아르곤("Ar"), 헬륨("He"), 수소("H2"), 제논("Xe"), 크립톤("Kr") 등} 중 하나 이상을 조정함으로써 조절될 수 있다. 이 경우엔 He 및 H2가 바람직한 종이다. Ar, Xe, Kr 등은 He 및 H2보다 10배 더 무거워 더 큰 운동량을 갖고 표면에 더 집약적인 타격을 유발시킬 수 있는 불활성 기체(noble gas)이다. 증착률은 He 또는 H2 대신에 Ar을 사용함으로써 근사적으로 2배가 될 수 있다(그외 모든 다른 공정 조건을 일정하게 유지하고). 그러므로, 일부 실시예에서, He 및 H2는 증착률을 낮게 유지하기 위한 바람직한 희석/캐리어 기체 종이다.
재단된 계면
C계 층 형성의 시작 및 끝에서 플라즈마 파라미터를 조정하는 것은 C계 스위칭 가능 층과 도체, 유전체 등과 같은 그외의 물질 사이에 계면이 조작될 수 있게 한다(예를 들면, 계면 부착을 개선하고, 개선된 시일링 또는 캡(capping) 특성을 제공하고, 필름 결함을 감소시키고 등을 위해서). 조작된 C계 층 계면은 (1) 계면에 대해 sp3 농도를 증가시킨, 조정된 sp2/sp3 비, (2) 계면에서 더 큰 필름 밀도, 및/또는 (3) 계면에 질화된 영역을 포함할 수 있다. 앞에서 포함된 '315 출원은 PECVD를 사용하여 형성된 C계 계면 층을 기술한다.
예시적인 PECVD 챔버
본 발명에 따라 C계 스위칭 가능 물질을 증착시키기 위해 PECVD 챔버가 채용될 수 있다. 예를 들어, PECVD 챔버는 캘리포니아, 산타 클라라의 Applied Materials 사로부터 입수할 수 있는 PRODUCER(등록상표) PECVD 챔버에, 또는 발명의 플라즈마 공정이 수행될 수 있는 어떤 다른 유사한 PECVD 챔버에 기초할 수 있다. 이러한 PECVD 공정 챔버의 예는 모든 목적을 위해 전체를 참조로 여기에 포함시키는, "Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situ Multi-step Planarized Process" 명칭의 미국 특허 5,000,113에 기술되어 있다.
예시적인 PECVD 시스템 확인은 주로 예시적인 목적을 위한 것이고, 전극 사이클로트론 공진("ECR") 플라즈마 CVD 장치, 유도 결합 RF 고 밀도 플라즈마 CVD 장치 등과 같은 다른 플라즈마 장비가 사용될 수도 있다. 또한, 기판 지지 설계, 히터 설계, RF 파워 연결의 위치, 전극 구성, 및 그외 다른 면의 변형과 같이, 전술한 시스템의 변형이 가능하다.
C계 스위칭 층을 위한 예시적인 PECVD 파라미터
앞에서 논의된 바와 같이, 증착률(deposition rate)은 C계 필름에서 나노결정도 및 흑연 나노결정자 크기에 영향을 미치게 제어될 수 있다. 또한, 비정질 탄소 필름의 구조는 기판 온도, 프리커서 대 희석 기체 비, 고 주파수 RF 파워 밀도, 캐리어 기체 유형, 및/또는 이온 에너지에 의해 조절될 수 있고, 이들은 증착률에 영향을 미치며 질서화된 구조를 생성하는 핵심 인자이다.
예를 들어, 희석/캐리어 기체 대 프리커서 기체 비를 증가시키는 것은 반응성 프리커서 종의 농도를 감소시킬 수 있고, 증착률을 크게 감소시킬 수 있고, 잠재적으로 표면에 종이 저 에너지 위치까지 확산하여 질서화된 구조를 형성하기에 충분한 시간을 제공할 수 있다. 공정 압력은 유효성 윈도우 내에서, 증착률에 유사한 영향을 미친다. 공정 압력을 감소시키는 것은 기판 표면에 반응성 프리커서 분자의 총량을 감소시키고, 마찬가지로 증착률을 감소시킴으로써 유사한 조건을 만들어 낼 수 있다. 한편, 압력을 감소시키는 것은 이온 에너지를 증가시키고, 과도한 이온 에너지는 나노결정 구조를 비정질화할 수 있다. 기판 온도를 증가시키는 것은 표면 확산을 촉진시키며, 이는 더 밀집되게 팩킹되고 질서화된 구조를 만들 수 있도록 한다. 그러나, 기판 온도를 증가시키는 것은 열 버짓에 부정적으로 영향을 미칠 수 있다. 고 주파수 RF 파워 밀도 및 이온 에너지의 영향은 앞에서 논의되었다. 두 파라미터에 대한 유효 윈도우가 있다. 고 주파수 RF 파워 밀도 및 이온 에너지가 너무 낮다면, 증착은 제로에 가깝게 될 것이다. 고 주파수 RF 파워 밀도 및 이온 에너지가 너무 높다면, 비정질 상(phase)이 증가할 것이다. 서로 다른 캐리어 기체는 증착률에 크게 영향을 미친다. 예를 들어, Ar은 더 큰 증착률을 야기하며, He는 보통의 증착률을 야기하며, H2은 낮은 증착률을 야기한다. 결국, He 및 H2은 PECVD C계 필름의 나노결정도 및 흑연 나노결정자 크기를 증가시킬 것이다.
본 발명의 일부 실시예에서, 라디칼 농도는 캐리어 또는 희석 기체(예를 들면, He, H2, Ar, Kr, Xe, N2 등)를 프리커서 기체(예를 들면, CxHy) 비까지 증가시킴으로써 감소될 수 있다. 이온화 및 중간 정도의 물리적 타격은 희석 기체 대 프리커서 비를 증가시킴으로써 조정될 수도 있다. 희석 기체 흐름을 증가시키는 것은 이온화 및 표면 물리적 타격을 증가시킬 수 있다. 헬륨 및 아르곤 둘 다는 이온 형성 종이다. 그러나, 아르곤의 이온화 에너지는 헬륨의 이온화 에너지보다 훨씬 낮으며, He보다 Ar를 이온화하는 것이 훨씬 더 효과적이다. 또한, H2와 같은 일부 기체는 증착률을 더 감소시키고 나노결정화를 촉진시키기 위해 에칭액으로도 작용할 수 있다.
아래 표 1은 이 발명에 따라 PECVD에 의해 C계 스위칭 층의 형성에 연관된 넓은 값 범위 및 좁은 값 범위를 기술한다.
PECVD C계 형성 값
형성 값 넓은 범위 좁은 범위
증착률(Å/sec) ≤ 33 ≤ 5
전체 필름 두께(Ang) ≤ 1000 ≤ 500
결정도(vol%) > 5% > 30%
결정도 크기(nm) > 1 2 ~ 10
시트 저항(ohm/square) > 1 × 103 > 1 × 104
당업자는 이외 유사한 형성 값이 달성될 수 있음을 알 것이다.
아래 표 2는 이 발명에 따라 PECVD에 의해 나노결정 흑연 탄소("GC") 물질을 형성하기 위한 넓은 공정 윈도우 및 좁은 공정 윈도우를 기술한다. C계 스위칭 층을 형성하기 위해 상기 흑연 나노결정 물질이 사용될 수 있다.
GC를 위한 예시적인 PECVD 공정 파라미터
공정 파라미터 넓은 범위 좁은 범위
프리커서 유속(sccm) 50 ~ 5000 50 ~ 100
캐리어/프리커서 비 > 1:1 5:1 < x < 50:1
챔버 압력(Torr) 0.2 ~ 10 4 ~ 6
제 1 RF 주파수(Mhz) 10 ~ 50 12 ~ 17
제 2 RF 주파수(Khz) 90 ~ 500 90 ~ 150
제 1 RF 파워 밀도(W/cm2) 0.12 ~ 2.80 0.19 ~ 0.50
제 2 RF 파워 밀도(W/cm2) 0 ~ 2.8 0 ~ 0.5
공정 온도(℃) 450 ~ 650 550 ~ 650
히터에서 샤워헤드(Mils) 300 ~ 600 325 ~ 375
이 발명의 예시적인 실시예에서, 프리커서 탄화수소 화합물은 화학식 CxHy을 가질 수 있으며, x는 약 2 내지 4의 범위이고 y는 약 2 내지 10의 범위이며, 캐리어 기체는 하나 이상의 He, Ar, H2, Kr, Xe, N2과 같은 임의의 적합한 불활성 또는 비-반응성 기체를 포함할 수 있다.
도 2는 본 발명에 따라 C계 스위칭 가능 층을 형성하기 위한 방법(200)의 흐름도이다. 도 2를 참조하면, 단계(210)에서, 기판이 PECVD 챔버, 또는 이외 어떤 다른 적합한 챔버에 위치된다.
단계(220)에서, 처리 기체가 처리 챔버에 도입되고, 공정 기체 흐름 및/또는 챔버 압력이 안정화된다. 처리 기체는 하나 이상의 탄화수소 화합물과 같은 프리커서 기체, He, Ar, Xe, Kr, H2, N2와 같은 캐리어/희석 기체, 또 다른 불활성 및/또는 비-반응성 기체, 이들의 조합 등을 포함할 수 있다. 일부 실시예에서, 탄화수소 화합물은 CxHy을 포함할 수 있고, x는 약 2 내지 4의 범위를 가지며, y 는 약 2 내지 10의 범위를 갖는다. 탄화수소 종이 사용될 수도 있다.
일부 실시예에서, 처리 기체는 He, Ar, Kr, Xe, H2, N2와 같은 캐리어/희석 기체, 또 다른 불활성 및/또는 비-반응성 기체, 이들의 조합 등 및 CaHbOcNxFy와 같은 하나 이상의 프리커서 화합물을 포함할 수 있고, 여기에서 "a"는 약 1 내지 약 24의 범위를 가지며, "b"는 0 내지 약 50의 범위를 가지며, "c"는 0 내지 약 10의 범위를 가지며, "x"는 0 내지 약 50의 범위를 가지며, "y"는 약 1 내지 약 50의 범위를 갖는다. 이에 더하여, 또는 대안적으로, 하나 이상의 프리커서 화합물은 다음으로 한정되는 것은 아니지만, 프로필렌("C3H6"), 프로핀 ("C3H4"), 프로판("C3H8"), 부탄("C4H10"), 부틸렌("C4H8"), 부타디엔("C4H6"), 아세텔렌("C2H2"), 및 이들의 조합을 포함할 수 있다.
일부 실시예에서, 표 1의 형성 값 중 하나 이상을 달성하는 것은 약 50 내지 약 5000 sccm(standard cubic centimeters per minute), 더 바람직하게 약 50 내지 약 100 sccm의 레이트로 프리커서 기체를 챔버에 흐르게 하는 것을 포함할 수 있다. 캐리어/희석 기체는 약 10 ~ 20,000 sccm, 및 더 바람직하게 약 1000 내지 약 5000 sccm의 레이트로 챔버에 흐르게 할 수 있다. 약 1:1 내지 약 100:1, 및 더 바람직하게 약 5:1 내지 약 50:1의 캐리어 (희석) 기체 대 프리커서 기체 비가 사용될 수도 있다. 챔버 압력은 약 0.2 내지 약 10 Torr, 더 바람직하게 약 4 내지 약 6 Torr로 유지될 수 있다.
단계(230)에서, 적어도 단일 주파수 RF 소스로부터의 파워를 인가함으로써 처리 기체 플라즈마가 생성된다. 일부 실시예에서, 트윈 파워 소스는 약 30 내지 약 1000 Watts("W")의 제 1 고 주파수 RF 파워, 더 바람직하게는, 약 10 내지 약 50 MHz의 주파수, 더 바람직하게 약 12 ~ 17 MHz에서 약 30 내지 약 250 Watts의 고 주파수 RF 파워를 챔버에 전달할 수 있다. 일부 실시예에서는 약 0 내지 약 500 Watts, 더 바람직하게는, 약 90 내지 약 500 KHz, 더 바람직하게 약 90 KHz에서 약 0 내지 약 100 Watts의 제 2 저 주파수 RF 파워가 사용될 수도 있다. 제 2 저 주파수 RF 파워 대 제 1 고 주파수 RF 파워의 바람직한 비는 약 0 내지 0.6일 수 있다. 약 0.12 내지 약 2.8 Watts/cm2, 및 더 바람직하게 약 0.19 내지 약 0.5 Watts/cm2의 제 1 파워 밀도가 사용될 수 있다. 기판 표면 온도는 약 45O℃ 내지 약 65O℃, 더 바람직하게 약 55O℃ 내지 약 65O℃로 유지될 수 있다. 챔버의 전극 간격은 약 300 내지 약 600 mil, 및 더 바람직하게는 약 325 내지 약 375 mil일 수 있다. 그외 기체 유속, 기체 흐름 비, 챔버 압력, RF 파워, RF 주파수, RF 파워 비, RF 파워 밀도, 챔버 온도, 전극 간격 및/또는 파라미터가 사용될 수 있다.
다른 챔버, 기판 층, 및 다른 기체에 대해 공정 파라미터가 조정될 수 있다. 일부 실시예에서, 공정 파라미터는 층의 추가 증착을 요구함이 없이, 적어도 C계 스위칭 층과 이웃 층(예를 들면, 이웃한 도전성 또는 유전체층) 사이에 계면에서의 부착을 개선하기 위해 조정될 수 있다. 더 일반적으로, C계 층 형성의 시작 및 끝에서 플라즈마 파라미터를 조정하는 것은 C계 스위칭 가능 층과 이를테면 도체, 유전체 등과 같은 그외의 물질 사이에 계면이 조작될 수 있게 한다(예를 들면, 계면 부착을 개선하고, 개선된 시일링 또는 캡 특성을 제공하고, 필름 결함을 감소시키고 등을 위해서). 조작된 C계 층 계면은 (1) 계면에 대해 sp3 농도를 증가시킨, 조정된 sp2/sp3 비, (2) 계면에서 더 큰 필름 밀도, 및/또는 (3) 계면에 질화된 영역(예를 들면, N2를 사용한 플라즈마 공정을 통해서)을 포함할 수 있다. 이러한 조작된 계면은 예를 들어 '315 출원에 기술되어 있다.
도 2로 돌아와서, 단계(240)에서, 탄소계 저항률 스위칭 물질이 기판 위에 형성된다. 일부 실시예에서, 다른 장치 집적 단계로부터 탄소계 저항률 스위칭 물질을 보호하기 위해서 질화된 탄소, 질화실리콘, 실리콘 옥시나이트라이드 등과 같은 얇은 패시베이션층이 추가될 수 있다. 예를 들어, 질소(예를 들면, N2), 실리콘 소스 등과 같은 다른 프리커서 종이 패시베이션층 형성을 위해 PECVD 챔버에 제공될 수 있다.
일부 실시예에서, 탄소계 저항률 스위칭 물질은 다음 특징 중 하나 이상을 갖게 또는 다음 파라미터 중 하나에 따라 형성될 수 있다. 예를 들어, 증착은 약 ≤33 옹스트롬/초, 더 바람직하게 약 ≤5A/초의 레이트로 일어날 수 있다. 구성에 따라, 비정질 탄소 필름 두께는 달라질 수 있다. 예를 들어, 금속-절연체-금속 구성(예를 들면, 도 4 참조)에서, 비정질 탄소 필름 두께는 약 1000 옹스트롬 이하일 수 있다. 다마센 측벽 집적 방법에 있어서(예를 들면, 도 5 참조), 45 나노미터 및 이를 넘어선 메모리 기술 노드에서 비정질 탄소 필름 두께는 약 100 옹스트롬 미만, 더 바람직하게는 약 50 옹스트롬 미만일 수 있다. 1000 옹스트롬 필름에 대한 시트 저항률("Ω/□")은 약 1KΩ/□ 내지 약 10 MΩ/□, 더 바람직하게는 약 10KΩ/□일 수 있다. 비정질 탄소 필름은 흑연 나노결정자를 갖게 형성될 수도 있다. 기타 필름 특징 또는 형성 파라미터가 사용될 수 있다(예를 들면, 다른 증착률, 필름 두께, 시트 저항률 등).
일부 실시예에서, 비휘발성 메모리 셀 및/또는 어레이와 같은 전자 장치에 탄소계 저항률 스위칭 물질의 집적을 개선하기 위해서, 탄소계 필름은 저 응력을 갖고 컨포멀할 수 있다. 필름 부착을 개선하기 위해서 고 밀도 탄소 개시(initiation) 층이 사용될 수 있다. 언급된 바와 같이, 필름의 밀집 팩킹을 촉진시키기 위해서(예를 들면, He 캐리어 기체에 Ar 첨가를 통해서 및/또는 저 주파수 RF 파워의 추가를 통해서) 증착률 및 중간 정도로 이온화된 타격을 낮춤으로써 증가될 수 있다. 일부 실시예에서, 컨포멀 탄소 필름의 상부 위에 보호 컨포멀 패시베이션 SiN 층이 증착될 수 있다. 일부 실시예에서, 컨포멀 상부 전극이 컨포멀 탄소 필름의 상부 상에 형성될 수 있다.
예를 들어, 본 발명에 따라 형성된 C계 스위칭 물질 메모리 소자는 선택 장치 또는 스티어링 소자, 예를 들면 다이오드를 포함하는 2 단자 메모리 셀의 일부로서 포함될 수 있다. C계 스위칭 메모리 소자는 본 발명에 따라 형성된 얇은 C계 스위칭 가능 층(예를 들면, 몇 개의 원자층만큼 얇은)을 포함할 수 있다. 또 다른 예에서, 본 발명에 따라 형성된 C계 스위칭 가능 층은 메모리 셀을 형성하기 위해 트랜지스터와 직렬로 결합될 수 있다.
메모리 동작은 바이어스 전압의 인가로 C계 스위칭 가능 층에서 쌍안정 저항 변화에 기초한다. 메모리 셀을 통하는 전류는 C계 스위칭 가능 층의 저항에 의해 조절된다. 일부 실시예에서, 메모리 셀은 메모리 셀을 고 저항 상태로 리셋하기 위해 전류 제한 없이 대략 메모리 셀에 3 볼트 이상의 전압 펄스를 인가함으로써 동작된다. 대략 10 마이크로 암페어의 전류 제한을 갖고 대략 3 볼트 이하의 펄스는 셀을 저 저항 상태로 설정할 수 있다. 메모리 셀은 C계 스위칭 가능 층의 저항을 변경하지 않을 더 낮은 전압에서 판독된다.
일부 실시예에서, 두 상태 사이에 저항률의 차이는 10Ox 이상일 수 있다. 메모리 셀은, 예를 들어, 스티어링 소자(예를 들면, 다이오드)에 고 순방향 바이어스의 인가로, "0"에서 "1"로 변경될 수 있다. 메모리 셀은 고 순방향 바이어스의 인가로 "1"에서 "0"으로 반대로 변경될 수도 있다. 언급된 바와 같이, 이 집적 방법은 수직 필라 다이오드 대신 스티어링 소자로서 TFT 또는 터널 접합과 직렬로 C계 스위칭 가능 물질을 포함하게 확장될 수 있다. TFT 또는 터널 접합 스티어링 소자는 평면형 또는 수직형일 수 있다. 그외 메모리 셀 구성 및/또는 기록, 판독 및/또는 리셋 조건이 사용될 수도 있다.
표 2의 공정 파라미터 중 하나 이상을 사용하여 형성된 C계 스위칭 가능 (판독-기록 가능) 필름의 전기적 테스트는 1회 프로그램 가능성과 많은 사이클의 가역, 판독-기록 가능 특징 모두를 보였다. 약 0.5V에서 ON/OFF 판독 전류 사이에서 적어도 약 한 자리수의 크기 차이가 관찰되었다.
특정 처리 조건 하에서, 비정질 탄소와 같은, PECVD로 형성된 C계 필름은 흑연 나노결정자를 함유할 수 있다. PECVD 공정 파라미터는, (a) 나노결정인 C계 필름의 퍼센티지, (b) C계 필름에 흑연 나노결정자의 크기, 및/또는 (c) C계 필름에 흑연 나노결정자의 배향을 조절하기 위해 사용될 수 있다. 발명의 하나 이상의 실시예에서, 저항률 스위칭 가능 비정질 탄소 필름에는 판독-기록 가능 메모리 소자로서 사용될 수 있는 흑연 나노결정 영역이 제공된다.
하나의 특정 실시예에서, C계 스위칭 가능 물질은 약 20 ~ 100 sccm의 유속의 C3H6 또는 C2H2, 약 1000 ~ 5000 sccm의 유속의 헬륨, 약 30 ~ 250 Watts의 RF 파워, 약 2.5 ~ 7 Torr의 챔버 압력, 및 약 200 ~ 500 mil의 전극 간격을 사용하여 형성될 수 있다. 위의 예에 의해 제작된 결과적인 탄소 R/W 필름은 도전성이고(1000 옹스트롬에 대해 ρ= 50KΩ/□) 약 2 ~ 5 나노미터의 흑연 나노결정자를 갖는 주로 나노결정이 될 것이다.
스위칭 가능 C계 필름의 전기적 성능은 필름 구조를 변경함으로써 조절될 수 있다. 예를 들어, 증착률을 감소시키는 것은 C계 필름 내에 흑연 나노결정자의 퍼센티지를 증가시킬 수 있고, 이것은 동작 전류 및 전압을 감소시킬 수도 있다. 흑연 나노결정자의 크기도 유사한 효과를 가질 수 있다. 하나 이상의 실시예에서, 약 2 ~ 10 나노미터 크기의 흑연 나노결정자가 제공될 수 있다(다른 크기가 제공될 수 있을지라도).
흑연 나노결정자의 배향은 전기적 성능에도 영향을 미칠 수 있다. 특히, 흑연 나노결정자의 배향은 완전히 랜덤부터 정렬된 배향(또는 텍스처)까지의 범위일 수 있다. 일부 실시예에서, 서로 다른 기판 및/또는 물질 상에 형성된 C계 필름은 서로 다른 배향을 갖는 흑연 나노결정자를 가질 수 있다. 예를 들어, 성장된 SiOx(또는 또 다른 유전체) 상에 형성된 C계 필름은 어떤 경우에, 주로 무작위 배향으로 놓인 흑연 나노결정자를 가질 수도 있다. 마찬가지로, Si층 상에 C계 필름을 형성하는 것은 판독-기록 가능 C계 필름을 위해 랜덤한 흑연 나노결정자 배향을 발생할 수 있다. 그러나, W 또는 TiN과 같은 도전성 금속 층 상에 형성된 C계 필름은 도전성 층 및 C계 필름 사이에 계면에 수직인 실질적으로 수직인 배향으로 놓이게 성장된 흑연 나노결정자의 밑면을 가질 수도 있다.
흑연 나노결정자 배향은 공정 방법에 의해 크게 영향을 받는다. 예를 들어, 하류측에 원격 마이크로파 플라즈마 또는 인 시튜(in situ) RF 플라즈마를 제로 또는 최소로 한 완전히 열적 공정을 사용하여, 성장된 흑연 나노결정자의 밑면이 성장 표면에 실질적으로 평행한 배향으로 놓인 C계 필름을 형성할 수 있다.
앞에서 도입된 바와 같이, PECVD 공정에 의해 이러한 탄소계 저항률 스위칭 물질을 형성하는 한 특별한 이점은 PECVD로 형성된 C계 스위칭 가능 물질이 감소된 온도에서 형성될 수 있다는 것이다. 그러므로, 메모리 소자 제조 공정의 열 버짓이 크게 감소되어, Cu, Al과 같은 백엔드 배선층(backend wiring layer), 및/또는 600℃ 이상의 온도와 같이 높은 온도에 민감한 그외 저 저항률 물질을 사용할 수 있게 한다. 예를 들면, Al은 약 66O℃의 융점을 갖는다. 또한, 75O℃보다 높은 온도는 CMOS의 얕은 접합에서 도펀트 프로파일을 변경하여 CMOS 성능에 영향을 미칠 수 있다. 1분 이상 동안 75O℃보다 높은 온도도 도펀트 프로파일 및 스티어링 소자로 사용된 폴리실리콘 다이오드에 접합 폭을 변경할 것이며, 이것은 누설 전류의 증가를 초래한다.
또한, 메모리 소자의 적층된 레벨을 포함하는 3차원 메모리 어레이에서, C계 스위칭 가능 물질의 많은 층(예를 들면, 8개의 층)이 수직으로 증착될 수 있다(예를 들면, 메모리 셀의 레벨당 적어도 한 층의 C계 스위칭 가능 물질). 3차원 메모리 어레이에 추가 메모리 레벨이 추가될 때, 전에 형성된 C계 스위칭 가능 층은 추가 열 사이클에 노출된다(C계 스위칭 가능 층 형성 공정에 기인하여). 각각의 C계 스위칭 가능 층을 형성하기 위한 저온 PECVD 공정의 사용은 이러한 추가 열 사이클의 영향을 감소시키는데, 이와 같이 하지 않았다면 어쩌면 전에 형성된 C계 층 필름의 구조를 변경하였을 수도 있을 것이다.
또한, 탄소층 및 일부 금속층(TiN 또는 TaN과 같은) 사이에 열 팽창계수 부정합이 크다. 따라서, C계 스위칭 가능 물질을 위한 고 증착 온도는 금속 및 탄소층 사이에 큰 계면 스트레스를 야기할 수 있어, 층이 서로 박리되게 할 수도 있다. 이에 따라 저온 PECVD 공정의 사용은 C계 층 및 금속 층 사이에 계면 스트레스를 줄여 부착을 개선할 수 있다.
마지막으로, C계 층 형성 동안 저 공정 온도의 사용은 금속 전자이동(electromigration)을 크게 감소시킬 수 있다. 이러한 전자이동은 장치의 기하학적 구조가 축소될 때 점점 더 중요하게 된다.
다음 도면은 발명의 또 다른 면을 도시한다. 도시 및 기술된 실시예는 첨부된 청구항에 의해 제공된 것을 제외하면 발명을 한정하기 위한 것이 아니다. 또한, 실시예에서, 층의 순서가 수정될 수 있고, 이에 따라, 명세서 및 청구항에서 "위에 증착된" 등의 용어는 반드시 이전의 층에 바로 이웃한 것은 아닌 이전의 층 위에 증착된 층을 포함하며, 적층에서 더 높을 수 있다.
도 3은 본 발명에 따라 제공된 C계 스위칭 가능 층(300)의 측면 단면도이다. 도 3을 참조하면, 복수의 흑연 나노결정자(302)가 C계 스위칭 가능 층(300) 내에 분산된 것으로 도시되었다. 흑연 나노결정자(302)의 수, 크기 및/또는 구조는 단지 예이며 예시 목적을 위한 것임에 유의한다. 데이터는 층(300)이 많은 흑연 나노결정자 및 몇몇의 그레인 경계를 포함함을 나타낸다. 예를 들어, 테스트 구조의 터널링 전자 현미경("TEM") 이미지는 약 90% 나노결정도를 보였다. 이러한 맥락에서, 흑연 나노결정자(302)는 sp2 결합된 흑연 나노결정 도메인의 영역을 포함한다. 반대로, sp3 결합된 탄소는 서로 결합되어 그레인 경계에서 비정질 무질서 상을 형성하는 탄화수소를 포함할 수 있다.
전술한 PECVD 공정 파라미터를 사용함으로써, C계 층 내에 흑연 나노결정자의 수, 크기 및/또는 배향이 조정될 수 있다. 예를 들어, 도 3에서, 흑연 나노결정자(302)는 주로 수직 배향으로 놓여져, C계 층에 걸쳐(도 3에서 수직으로) 저항률 스위칭이 가능하게 한다. 수평 및/또는 랜덤과 같은 흑연 나노결정자(302)의 서로 다른 배향이, PECVD 공정 파라미터의 조작 및/또는 C계 층이 형성되는(기술된 바와 같은) 물질의 선택에 의해 달성될 수도 있다.
도 4는 본 발명에 따라 제공된 금속-절연체-금속 C계 구조의 측면 단면도이다. MIM 구조는 2개 이상의 금속 층(예를 들면, TiN 장벽/부착 층 및 W로부터 형성된 도체) 사이에 위치된 C계 필름을 포함한다. 다른 금속층이 사용될 수도 있다. 이러한 실시예에서, MIM 구조를 통하는 전류 흐름은 C계 필름에 수직으로 흐른다.
도 5는 본 발명에 따라 제공된 메모리 셀(500)을 갖는 다마센 C계 구조의 측단면도이다. 도시된 다마센 구조는 3개의 메모리 셀(500)을 포함하며, 그 각각은 하부 도체(502))의 부분을 포함한다. 하부 도체(502)는 예를 들어, W와 같은 도전성 물질(504), 및 TiN와 같은 선택적 장벽/부착 물질(506)로부터 형성될 수 있다. 그외 도전성 물질 및 장벽/부착 물질이 사용될 수 있다. 장벽/부착 물질(506)은 이 위에 피처(feature)를 갖도록 패터닝될 수도 있다.
유전체 물질 층(508)은 하부 도체(502) 위에 형성될 수 있다. 유전체 물질은 SiO2, SiN, SiON 등, 또는 그외 유사한 유전체 물질을 포함한다. 하부 도체(502) 위에는 다이오드(510)가 있으며, 이것은 Si, Ge, SiGe 등과 같은 반도체 물질로 형성된, p-n, p-i-n, 또는 그외 유사한 다이오드일 수 있다. 다이오드(510) 위에는 다이오드(510)로부터 반도체 물질로부터 형성된 선택적 실리사이드 영역(511)이 있다. 실리사이드 영역(511) 위에는, 유전체 갭 충전 물질(508)로 형성된 라인, 트렌치 또는 비아의 측벽 영역 상에 컨포멀 C계 필름(512)이 형성된다. 컨포멀 C계 필름(512) 위에 라인(line), 트렌치(trench) 또는 비아(via) 내 어떤 점유되지 않은 공간을 채우는 유전체 물질(514)이 도시되었다. 일부 실시예에서, 유전체 물질(514)은 SiN과 같은 산소-희박 물질, 또는 그외 유사한 유전체 물질을 포함할 수 있고, 패시베이션층(passivation layer)으로 작용한다. 유전체 물질(508)이 2개 이상의 금속층{예를 들면, 하부 도체(502)와 상부 도체(516), 예를 들어} 사이에 형성된다. 기타 금속층이 사용될 수도 있다. 라인, 트렌치 또는 비아는 SiO2 또는 또 다른 유전체와 같은 유전체 층 내에 형성될 수 있다. 상부 도체(516)는 컨포멀 C계 필름(512) 위에 그리고 이와 접촉하여 형성될 수 있다. 하부 도체(502)처럼, 상부 도체(516)는 선택적 부착/장벽 물질(518) 및 도전성 물질(520)을 포함할 수 있다. 이러한 실시예에서, 다마센 구조를 통하는 전류 흐름은 C계 필름(예를 들면, 라인, 트렌치 또는 비아의 측벽 영역 상에 C계 물질)에 실질적으로 평행하게 흐른다. 이러한 메모리 셀(500)의 형성에 관한 추가 상세는 언급된 '405 출원 및 '180 출원.에 서 발견될 수 있다.
일부 실시예에서, 선택적인 실리사이드 영역은 반도체 다이오드, 즉 실시예의 다이오드(510)에 접촉하여 형성될 수 있다. 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 미국 특허 7,176,064에 기술된 바와 같이, 티타늄 및 코발트와 같은 실리사이드-형성 물질은 실리사이드층을 형성하기 위해 어닐링 동안, 증착된 실리콘과 반응한다. 티타늄 실리사이드 및 코발트 실리사이드의 격자 간격은 실리콘의 격자 간격에 가깝고, 이러한 실리사이드층은 증착된 실리콘이 결정화될 때 이웃한 증착된 실리콘에 대해 "결정화 템플레이트" 또는 "시드(seed)"로 작용할 수 있는 것으로 보인다(예를 들면, 상기 실리사이드층은 어닐링 동안 다이오드의 결정 구조를 향상시킨다). 그럼으로써 더 낮은 저항률 실리콘이 제공된다. 실리콘-게르마늄 합금 및/또는 게르마늄 다이오드에 대해 유사한 결과가 달성될 수 있다. 다이오드를 결정화하기 위해 실리사이드 영역을 사용하는 일부 실시예에서, 실리사이드 영역은 이러한 결정화 후에 제거될 수 있고, 따라서 실리콘 영역은 완료된 구조에는 남아있지 않다. 일부 실시예에서, Ti-농후 층은 aC 스위칭 가능 층과 반응하여 티타늄 카바이드("TiC")를 형성할 수 있고, 이것은 aC층과의 부착을 개선할 수 있다.
본 명세서에 사용된 바와 같이, 컨포멀 증착(conformal deposition)은 등방성의, 무-지향성 증착을 가리키는 것으로, 증착된 층은 하지의 층의 수직 토포그래피뿐만 아니라, 수평에 컨포멀한다. 컨포멀 증착의 예는 타겟 층의 측벽 상에 물질의 증착일 수도 있을 것이다. 흑연 나노결정자를 함유하는 비정질 탄소 필름의 컨포멀 증착은 공정 파라미터의 조정에 의해 달성된다. 예를 들면, C3H6을 프리커서로 사용할 때, 증착 컨포멀리티(deposition conformality)는 압력과 온도를 증가시키는 결과로서 증가하여, He 대 프리커서 비를 감소시키고 파워를 감소시킨다.
이와 대조적으로, 비-컨포멀 증착은 비등방성, 지향성 증착을 가리키는 것으로, 증착된 층은 측벽과 같은 수직 표면에 물질을, 있다고 해도, 다량으로 증착함이 없이 주로 수평 토포그래피에만 컨포멀한다(예를 들면, 증착은 수평 표면에 수직으로 일어날 수 있다). 도 5에 도시된 탄소계 필름(512)의 컨포멀 증착에 대한 대안으로서, 비-컨포멀 탄소계 필름이 형성될 수 있다. 탄소계 필름의 이러한 비-컨포멀 증착의 실시예의 상세는 언급된 '180 출원에서 발견될 수 있다.
또한, 물질의 선택은 여기에 개시된 본 발명의 설명과 일관된다. 예를 들면, 도전성 물질(502)은 텅스텐("W"), 또는 또 다른 적합한 도전성 물질을 포함할 수 있다. 도펀트 활성화 어닐링을 요구하는 다이오드가 없을 때, 처리 온도가 대응하는 융점 미만에 머물러 있다면 구리("Cu"), 알루미늄("Al"), 및 다른 더 낮은 융점 금속이 사용될 수도 있다. 유사하게, 도전성 물질(520)은 텅스텐, 구리, 알루미늄, 또는 또 다른 적합한 도전성 물질을 포함할 수 있다. 아마도 MIM 구조에서 하측 금속 전극으로서 작용하는 하부 장벽층(506)은 질화텅스텐("WN"), 질화티타늄("TiN"), 몰리브덴("Mo"), 질화탄탈("TaN"), 또는 탄탈 탄소 질화물("TaCN") 또는 또 다른 적합한 도전성 장벽 물질을 포함할 수 있다. 유사하게, 아마도 MIM 구조에서 상측 금속 전극으로서 작용하는 상부 장벽층(518)은 유사한 적합한 도전성 장벽 물질을 포함할 수 있다.
하부 및 상부 장벽층(506, 518)에 대한 예시적인 두께는, TiN에 대해서 약 20 내지 3000 옹스트롬, 더 바람직하게 약 100 내지 1200 옹스트롬이다. 판독-기록 가능 물질(512)은 비정질 탄소에 대해 약 10 내지 5000 옹스트롬, 더 바람직하게 약 50 내지 1000 옹스트롬 범위의 두께를 가질 수 있다. 하부 및 상부 도전성 물질(504, 520)은 W에 대해 약 500 내지 3000 옹스트롬, 더 바람직하게 약 1200 ~ 2000의 범위일 수 있다. 그외 물질 및/또는 두께가 사용될 수도 있다. 이하 기술되는 비아 깊이는 약 500 내지 3000 옹스트롬(다이오드가 없이) 및 약 1500 내지 4000 옹스트롬(다이오드와 함께)의 범위일 수 있다. 이외 다른 비아 깊이가 사용될 수도 있다.
이 발명의 다른 예시적인 실시예에 따라, 마이크로전자 구조의 형성은 메모리 셀을 포함하는 모노리식 3차원 메모리 어레이의 형성을 포함하며, 각각의 메모리 셀은 다마센 집적에 의해 형성된 MIM 장치를 포함하고, MIM은 위에 기술된 바와 같이, 하부 전극 및 상부 전극 사이에 배치된 탄소계 저항률 스위칭 물질을 갖는다. 탄소계 저항률 스위칭 물질은 흑연 나노결정자를 포함하는 비정질 탄소 스위칭 가능 층을 포함한다.
도 6은 본 발명의 제 3 실시예에 따라 형성된 메모리 셀의 메모리 어레이(600)의 부분을 도시한 것이다. 제 1 메모리 레벨은 기판 위에 형성되고, 추가 메모리 레벨은 그 위에 형성될 수 있다. 메모리 어레이 형성에 관한 상세는 본 명세서에 참조로 포함된 출원에 기술되어 있고, 이러한 어레이는 본 발명의 실시예에 따른 방법과 구조로부터 혜택을 입을 수 있다.
도 6에 도시된 바와 같이, 메모리 어레이(600)는 각각 워드라인 또는 비트라인으로 작용할 수 있는 제 1 도체(610, 610'); 필라(620, 620')(각각의 필라(620, 620')는 메모리 셀(500)을 포함한다); 및 각각 비트라인 또는 워드라인으로 작용할 수 있는 제 2 도체(630)를 포함할 수 있다. 제 1 도체(610, 610')는 제 2 도체(630)에 실질적으로 수직인 것으로서 도시되었다. 메모리 어레이(600)는 하나 이상의 메모리 레벨을 포함할 수 있다. 제 1 메모리 레벨(640)은 제 1 도체(601), 필라(620) 및 제 2 도체(630)의 조합을 포함할 수 있고, 제 2 메모리 레벨(650)은 제 2 도체(630), 필라(620') 및 제 1 도체(610')를 포함할 수 있다. 이러한 메모리 레벨의 제조는 여기 참조로 포함된 츨원에 상세히 기술되어 있다.
본 발명의 실시예는 모노리식 3차원 메모리 어레이의 형성에서 유용하다. 모노리식 3차원 메모리 어레이는 개재되는 어떠한 기판도 없이 복수의 메모리 레벨이 웨이퍼와 같은 단일 기판 위에 형성되는 어레이이다. 한 메모리 레벨을 형성하는 층은 현존 레벨 또는 레벨의 층 상에 직접 증착 또는 성장된다. 반대로, 적층된 메모리는 Leedy의 미국 특허 5,915,167에서와 같이, 별도의 기판 상에 메모리 레벨을 형성하고 메모리 레벨을 서로 수직으로 부착함으로써 구축되었다. 기판은 본딩 전에 얇게 하거나 메모리 레벨로부터 제거될 수도 있는데, 그러나 메모리 레벨이 초기에 별도의 기판 상에 형성되기 때문에, 이러한 메모리는 진정한 모노리식 3차원 메모리 어레이가 아니다.
관련된 메모리는 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 2004년 9월 29일에 출원된 "Nonvolatile Memory Cell Without A Dielectric Antifuse Having High- and Low-Impedance States" 명칭의 헤르너 등의 미국 특허 출원번호 10/955,549에 기술되어 있다. '549 출원은 수직 배향으로 놓인 p-i-n 다이오드를 포함하는 모노리식 3차원 메모리 어레이, 즉 도 5의 다이오드(510)의 반도체 실시예를 기술한다. 형성시, '549 출원의 p-i-n 다이오드의 폴리실리콘은 고 저항 상태에 있다. 프로그램 전압의 인가는 폴리실리콘의 특성을 영구적으로 변경시켜, 저 저항이 되게 한다. 변경은 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "Nonvolatile Memory Cell Operating By Increasing Order In Polycrystalline Semiconductor Material" 명칭으로 2005년 6월 8일에 출원된 헤르너 등의 미국 특허 출원번호 11/148,530("'530 출원")에 더 완전하게 기술된 바와 같이, 폴리실리콘에 질서도(degree of order)에 증가에 의해 일어나는 것으로 생각된다.
또 다른 관련 메모리는 전체 내용이 본 명세서에 참조로 포함된 헤르너 등의 미국 특허 7,285,464("'464 특허")에 기술되어 있다. '464 특허에 기술된 바와 같이, p-i-n 다이오드의 높이를 감소시키는 것이 이점이 있을 수 있다. 더 짧은 다이오드는 더 낮은 프로그래밍 전압을 요구하며 이웃한 다이오드 사이에 갭의 종횡비를 감소시킨다. 매우 큰 종횡비 갭은 보이드 없이 채우기 어렵다. 다이오드의 역바이어스에서 전류 누설을 감소시키기 위해서 진성 영역에 대해 적어도 600 옹스트롬의 두께가 바람직하다. 고농도 n-도핑된 층 위에 실리콘-희박 진성층을 갖는 다이오드를 형성하는 것은, 이들 둘은 얇은 실리콘-게르마늄으로 된 진성 캡(capping) 층에 의해 분리된 것으로, 도펀트 프로파일에서 더 첨예한 천이를 가능하게 할 것이며, 이에 따라 전체 다이오드 높이를 감소시킬 것이다.
특히, 유사한 메모리 레벨의 제조에 관한 상세한 정보는 앞에서 포함된 '549 출원 및 '464 특허에 제공되어 있다. 관련 메모리의 제조에 관한 더 많은 정보는 본 발명의 양수인 소유이고 모든 목적을 위해 전체 내용이 본 명세서에 참조로 포함된 "A High-Density Three-Dimensional Memory Cell" 명칭의 헤르너 등의 미국 특허 6,952,030에 제공되어 있다. 본 발명을 모호하게 하는 것을 피하기 위해서, 이 상세는 이 설명에서 다시 반복되지 않을 것이지만 이들 또는 그외 포함된 특허 또는 출원의 교시된 어느 것도 배제하기 위한 것은 아니다. 상기 예는 제한하기 위한 것이 아니고, 본 명세서에 제공된 상세는 수정되거나, 생략되거나, 증가될 수 있으며, 결과는 발명의 범위 내에 속한다는 것이 이해될 것이다.
상술한 내용은 발명의 단지 바람직한 실시예를 개시한다. 발명의 범위 내에 드는 위에 개시된 장치 및 방법의 수정예는 당업자에게 쉽게 명백하게 될 것이다. 따라서, 본 발명이 이의 바람직한 실시예에 관련하여 개시되었지만, 이외 실시예는 다음 청구항에 정의된 바와 같은 발명의 사상과 범위 내에 포함됨을 알아야 한다.

Claims (47)

  1. 메모리 장치(memory device)를 형성하는 방법에 있어서,
    탄화수소 화합물과 캐리어 기체를 포함하는 처리 기체를 처리 챔버에 도입하는 단계와,
    상기 처리 챔버 내 기판 위에 탄소계 저항률 스위칭 물질 층을 증착하기 위해 상기 처리 기체의 플라즈마를 생성하는 단계를
    포함하는, 메모리 장치를 형성하는 방법.
  2. 제 1항에 있어서, 상기 탄소계 저항률 스위칭 물질 층은 흑연 결정자를 포함하는, 메모리 장치를 형성하는 방법.
  3. 제 2항에 있어서, 상기 흑연 결정자는 흑연 나노결정자를 포함하는, 메모리 장치를 형성하는 방법.
  4. 제 2항에 있어서, 상기 흑연 결정자의 크기를 제어하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  5. 제 4항에 있어서, 상기 흑연 결정자의 크기를 제어하는 단계는 상기 탄소계 저항률 스위칭 물질의 증착률(deposition rate)을 제어하는 단계를 포함하는, 메모리 장치를 형성하는 방법.
  6. 제 4항에 있어서, 상기 흑연 결정자의 크기를 제어하는 단계는 상기 기판의 온도, 상기 플라즈마의 이온 에너지, 상기 플라즈마를 생성하는데 사용되는 고 주파수 RF 파워 밀도, 상기 캐리어 기체의 선택, 및 상기 탄화수소의 희석 중 어느 하나를 제어하는 단계를 포함하는, 메모리 장치를 형성하는 방법.
  7. 제 2항에 있어서, 상기 흑연 결정자의 부피%를 제어하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  8. 제 7항에 있어서, 상기 흑연 결정자의 부피%를 제어하는 단계는 상기 탄소계 저항률 스위칭 물질의 증착률을 제어하는 단계를 포함하는, 메모리 장치를 형성하는 방법.
  9. 제 7항에 있어서, 상기 흑연 결정자의 부피%를 제어하는 단계는 상기 기판의 온도, 상기 플라즈마의 이온 에너지, 상기 플라즈마를 생성하는데 사용되는 고 주파수 RF 파워 밀도, 상기 캐리어 기체의 선택, 및 상기 탄화수소의 희석 중 어느 하나를 제어하는 단계를 포함하는, 메모리 장치를 형성하는 방법.
  10. 제 2항에 있어서, 상기 흑연 결정자는 상기 탄소계 저항률 스위칭 물질 층이 증착되는 표면에 실질적으로 평행한 밑면(basal plane)을 갖는 배향을 갖는, 메모리 장치를 형성하는 방법.
  11. 제 2항에 있어서, 상기 흑연 결정자의 배향을 제어하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  12. 제 11항에 있어서, 상기 흑연 결정자의 배향을 제어하는 단계는 상기 탄소계 저항률 스위칭 물질 층을 규소계 물질에 증착하는 단계를 포함하는, 메모리 장치를 형성하는 방법.
  13. 제 1항에 있어서, 상기 탄소계 스위칭 가능 물질 상에 패시베이션 층(passivation layer)을 형성하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  14. 제 1항에 있어서, 상기 탄화수소 화합물은 CxHy를 포함하고, x는 2 내지 4의 범위를 갖고, y는 2 내지 10의 범위를 갖는, 메모리 장치를 형성하는 방법.
  15. 제 1항에 있어서, 상기 처리 기체는 수소 및 CaHbOcNxFy의 식을 갖는 프리커서 화합물을 포함하고, "a"는 1 내지 24의 범위를 갖고, "b"는 0 내지 50의 범위를 가지며, "c"는 0 내지 10의 범위를 갖고, "x"는 0 내지 50의 범위를 가지며, "y"는 1 내지 50의 범위를 갖는, 메모리 장치를 형성하는 방법.
  16. 제 1항에 있어서, 상기 탄화수소 화합물은, 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 아세텔렌(C2H2), 또는 이들의 조합 중 어느 하나를 포함하는, 메모리 장치를 형성하는 방법.
  17. 제 1항에 있어서, 플라즈마를 생성하는 단계는, 제 1 주파수에서 제 1 RF 파워를 인가하는 단계와 상기 제 1 주파수 미만의 제 2 주파수에서 제 2 RF 파워를 인가하는 단계를 포함하는, 메모리 장치를 형성하는 방법.
  18. 제 17항에 있어서, 상기 제 1 주파수는 약 10 MHz 내지 약 50 MHz이고, 상기 제 2 주파수는 약 90 kHz 내지 약 500 KHz인, 메모리 장치를 형성하는 방법.
  19. 제 17항에 있어서, 상기 제 1 RF 파워는 약 30 W 내지 약 1000 W의 범위이고, 상기 제 2 RF 파워는 약 0 W 내지 약 500 W의 범위인, 메모리 장치를 형성하는 방법.
  20. 제 17항에 있어서, 상기 플라즈마의 RF 파워 밀도는 약 0 Watt/cm2 내지 약 2.8 Watts/cm2의 범위인, 메모리 장치를 형성하는 방법.
  21. 제 1항에 있어서, 상기 캐리어 기체는 He, Ar, Kr, Xe, H2 및 N2 중 적어도 하나를 포함하는, 메모리 장치를 형성하는 방법.
  22. 제 1항에 있어서, 캐리어 기체 대 탄화수소 화합물의 비는 약 1:1 내지 약 100:1의 범위인, 메모리 장치를 형성하는 방법.
  23. 제 22항에 있어서, 캐리어 기체 대 탄화수소 화합물의 비는 약 5:1 내지 약 50:1인, 메모리 장치를 형성하는 방법.
  24. 제 1항에 있어서, 상기 처리 챔버 내 압력을 약 0.2 Torr 내지 약 10 Torr로 설정하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  25. 제 1항에 있어서, 상기 처리 챔버 내 압력을 약 4 Torr 내지 약 6 Torr로 설정하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  26. 제 1항에 있어서, 약 50 sccm(standard cubic centimeters per minute) 내지 약 5000 sccm의 탄화수소 기체 유속을 제공하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  27. 제 1항에 있어서, 약 10 sccm 내지 약 20,000 sccm의 캐리어 기체 유속을 제공하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  28. 제 1항에 있어서, 상기 방법은 플라즈마 인핸스드 화학 증기 증착 공정을 포함하는, 메모리 장치를 형성하는 방법.
  29. 제 1항에 있어서, 상기 기판을 약 45O℃ 내지 약 65O℃의 표면 온도로 가열하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  30. 제 1항에 있어서,
    상기 탄소계 저항률 스위칭 물질 층 아래에 이와 접촉하는 하부 전극을 형성하는 단계와,
    상기 탄소계 저항률 스위칭 물질 층 위에 이와 접촉하는 상부 전극을 형성하는 단계를
    더 포함하고,
    상기 하부 전극, 상기 탄소계 저항률 스위칭 물질 층, 및 상기 상부 전극은 금속-절연체-금속 구조를 더 포함하는, 메모리 장치를 형성하는 방법.
  31. 제 30항에 있어서, 상기 탄소계 저항률 스위칭 물질 층과 직렬로 스티어링 소자를 형성하는 단계를 더 포함하는, 메모리 장치를 형성하는 방법.
  32. 제 31항에 있어서, 상기 스티어링 소자는 상기 탄소계 저항률 스위칭 물질 층과 수직으로 정렬된 다이오드를 포함하는, 메모리 장치를 형성하는 방법.
  33. 제 31항에 있어서,
    상기 하부 전극과 직렬인 제 1 도체를 형성하는 단계와,
    상기 제 1 도체 위에 제 2 도체, 상기 스티어링 소자, 및 상기 탄소계 저항률 스위칭 물질 층을 형성하는 단계로서, 상기 제 2 도체는 상기 상부 전극과 직렬인, 상기 단계를
    더 포함하고,
    상기 제 1 도체, 상기 스티어링 소자, 상기 탄소계 저항률 스위칭 물질 층, 및 상기 제 2 도체는 메모리 셀을 포함하는 마이크로전자 구조를 형성하는, 메모리 장치를 형성하는 방법.
  34. 마이크로전자 구조에 있어서,
    제 1 도체와,
    상기 제 1 도체 위에 배치되고 이와 직렬인 탄소계 저항률 스위칭 가능 물질 층으로서, 상기 탄소계 저항률 스위칭 가능 물질 층은 흑연 나노결정자를 포함하는, 탄소계 저항률 스위칭 가능 물질 층과,
    상기 탄소계 저항률 스위칭 가능 물질 층 위에 배치되고 이와 직렬인 제 2 도체를
    포함하는, 마이크로전자 구조.
  35. 제 34항에 있어서, 상기 탄소계 저항률 스위칭 가능 물질 층은 금속-절연체-금속 구조의 부분을 포함하는, 마이크로전자 구조.
  36. 제 34항에 있어서, 상기 제 1 도체 위에, 상기 제 2 도체 아래에 배치되고, 상기 탄소계 저항률 스위칭 물질 층과 직렬인 스티어링 소자를 더 포함하는, 마이크로전자 구조.
  37. 제 36항에 있어서, 상기 스티어링 소자는 다이오드를 포함하는, 마이크로전자 구조.
  38. 제 36항에 있어서, 상기 제 1 도체, 제 2 도체, 상기 스티어링 소자, 및 상기 탄소계 저항률 스위칭 물질 층은 메모리 셀을 포함하는, 마이크로전자 구조.
  39. 마이크로전자 구조를 형성하는 방법에 있어서,
    제 1 도체를 형성하는 단계와,
    상기 제 1 도체 위에 배치되고 이와 직렬인 탄소계 저항률 스위칭 가능 물질 층을 형성하는 단계로서, 상기 탄소계 저항률 스위칭 가능 물질 층은 흑연 나노결정자를 포함하는, 탄소계 저항률 스위칭 가능 물질 층을 형성하는 단계와,
    상기 탄소계 저항률 스위칭 가능 물질 층 위에 배치되고 이와 직렬인 제 2 도체를 형성하는 단계를
    포함하는, 마이크로전자 구조를 형성하는 방법.
  40. 제 39항에 있어서, 상기 탄소계 저항률 스위칭 가능 물질 층은 금속-절연체-금속 구조의 부분을 포함하는, 마이크로전자 구조를 형성하는 방법.
  41. 제 39항에 있어서, 상기 제 1 도체 위에, 상기 제 2 도체 아래에, 상기 탄소계 저항률 스위칭 물질 층과 직렬인 스티어링 소자를 형성하는 단계를 더 포함하는, 마이크로전자 구조를 형성하는 방법.
  42. 제 41항에 있어서, 상기 스티어링 소자는 다이오드를 포함하는, 마이크로전자 구조를 형성하는 방법.
  43. 제 41항에 있어서, 상기 제 1 도체, 제 2 도체, 상기 스티어링 소자, 및 상기 탄소계 저항률 스위칭 가능 물질 층은 메모리 셀을 포함하는, 마이크로전자 구조를 형성하는 방법.
  44. 제 39항에 있어서, 상기 탄소계 저항률 스위칭 가능 물질 층을 형성하는 단계는 탄소계 저항률 스위칭 물질의 플라즈마 인핸스드 화학 증기 증착을 포함하는, 마이크로전자 구조를 형성하는 방법.
  45. 제 39항에 있어서, 상기 흑연 나노결정자의 크기를 제어하는 단계를 더 포함하는, 마이크로전자 구조를 형성하는 방법.
  46. 제 39항에 있어서, 상기 흑연 나노결정자의 부피%를 제어하는 단계를 더 포함하는, 마이크로전자 구조를 형성하는 방법.
  47. 제 39항에 있어서, 상기 흑연 나노결정자의 배향을 제어하는 단계를 더 포함하는, 마이크로전자 구조를 형성하는 방법.
KR1020117000195A 2008-07-08 2009-07-07 탄소계 저항률 스위칭 물질과 이를 형성하는 방법 KR20110050422A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US7892408P 2008-07-08 2008-07-08
US61/078,924 2008-07-08

Publications (1)

Publication Number Publication Date
KR20110050422A true KR20110050422A (ko) 2011-05-13

Family

ID=41137562

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117000195A KR20110050422A (ko) 2008-07-08 2009-07-07 탄소계 저항률 스위칭 물질과 이를 형성하는 방법

Country Status (6)

Country Link
US (1) US20100006812A1 (ko)
JP (1) JP2011527834A (ko)
KR (1) KR20110050422A (ko)
CN (1) CN102144309A (ko)
TW (1) TW201007837A (ko)
WO (1) WO2010006000A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8133793B2 (en) * 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US8466044B2 (en) * 2008-08-07 2013-06-18 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods forming the same
WO2010078467A1 (en) * 2008-12-31 2010-07-08 Sandisk 3D, Llc Modulation of resistivity in carbon-based read-writeable materials
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551855B2 (en) * 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551850B2 (en) * 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8389375B2 (en) * 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
JP2011171322A (ja) * 2010-02-16 2011-09-01 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US8294132B2 (en) 2010-03-30 2012-10-23 Hewlett-Packard Development Company, L.P. Graphene memristor having modulated graphene interlayer conduction
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US20110278529A1 (en) * 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
JP2012059862A (ja) 2010-09-08 2012-03-22 Toshiba Corp 不揮発性記憶装置及びその製造方法
US8883589B2 (en) 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
JP5572056B2 (ja) * 2010-10-20 2014-08-13 株式会社東芝 記憶装置及びその製造方法
US8624396B2 (en) * 2012-06-14 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for low contact resistance carbon nanotube interconnect
US8735861B2 (en) 2012-08-31 2014-05-27 Kabushiki Kaisha Toshiba Semiconductor storage device and method of manufacturing same
JP5987613B2 (ja) * 2012-09-28 2016-09-07 ソニー株式会社 記憶素子、記憶装置、磁気ヘッド
TWI476973B (zh) * 2014-03-25 2015-03-11 Winbond Electronics Corp 記憶體元件及形成方法
CN103985816B (zh) * 2014-05-28 2016-09-14 淮阴师范学院 一种铝/铁掺杂非晶碳膜/铝纳米薄膜记忆电阻存储器件及其制备方法
US10527494B2 (en) * 2014-09-26 2020-01-07 Korea Intitute of Machinery & Materials Substrate on which multiple nanogaps are formed, and manufacturing method therefor
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
CN105742492B (zh) * 2016-04-13 2018-08-17 上海大学 具有单边阻变特性的碳基材料阻变存储单元及其制备方法
JP7178935B2 (ja) * 2019-03-15 2022-11-28 東京エレクトロン株式会社 グラフェン構造体を形成する方法および装置
US11220742B2 (en) * 2019-03-22 2022-01-11 International Business Machines Corporation Low temperature lift-off patterning for glassy carbon films
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2006A (en) * 1841-03-16 Clamp for crimping leather
US2009A (en) * 1841-03-18 Improvement in machines for boring war-rockets
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US2005A (en) * 1841-03-16 Improvement in the manner of constructing molds for casting butt-hinges
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960751A (en) * 1987-04-01 1990-10-02 Semiconductor Energy Laboratory Co., Ltd. Electric circuit having superconducting multilayered structure and manufacturing method for same
US5073785A (en) * 1990-04-30 1991-12-17 Xerox Corporation Coating processes for an ink jet printhead
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6397034B1 (en) * 1997-08-29 2002-05-28 Xerox Corporation Fluorinated carbon filled polyimide intermediate transfer components
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
AU2003296988A1 (en) * 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7767499B2 (en) * 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
KR100504701B1 (ko) * 2003-06-11 2005-08-02 삼성전자주식회사 상변화 기억 소자 및 그 형성 방법
JP2007507900A (ja) * 2003-10-03 2007-03-29 アプライド マテリアルズ インコーポレイテッド 動的表面アニール処理のための吸収層
US7220982B2 (en) * 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7288784B2 (en) * 2004-08-19 2007-10-30 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
GB2417490A (en) * 2004-08-27 2006-03-01 Nanofilm Technologies Int Tetrahedral amorphous carbon coating with pre-determined resistivity
US8030637B2 (en) * 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
EP1892722A1 (en) * 2006-08-25 2008-02-27 Infineon Technologies AG Information storage elements and methods of manufacture thereof
US7667999B2 (en) * 2007-03-27 2010-02-23 Sandisk 3D Llc Method to program a memory cell comprising a carbon nanotube fabric and a steering element
US8134220B2 (en) * 2007-06-22 2012-03-13 Nantero Inc. Two-terminal nanotube devices including a nanotube bridge and methods of making same
US20090166610A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US8558220B2 (en) * 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US7812335B2 (en) * 2008-04-11 2010-10-12 Sandisk 3D Llc Sidewall structured switchable resistor cell

Also Published As

Publication number Publication date
TW201007837A (en) 2010-02-16
US20100006812A1 (en) 2010-01-14
JP2011527834A (ja) 2011-11-04
WO2010006000A1 (en) 2010-01-14
CN102144309A (zh) 2011-08-03

Similar Documents

Publication Publication Date Title
KR20110050422A (ko) 탄소계 저항률 스위칭 물질과 이를 형성하는 방법
US8569730B2 (en) Carbon-based interface layer for a memory device and methods of forming the same
US20100012914A1 (en) Carbon-based resistivity-switching materials and methods of forming the same
US8466044B2 (en) Memory cell that includes a carbon-based memory element and methods forming the same
US8421050B2 (en) Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8467224B2 (en) Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US8835892B2 (en) Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
KR101494746B1 (ko) 선택적으로 제조된 탄소 나노 튜브 가역 저항 전환 소자를 사용하는 메모리 셀과 이를 형성하는 방법
EP2263257B1 (en) Method of forming a memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element
US8470646B2 (en) Modulation of resistivity in carbon-based read-writeable materials
US8183121B2 (en) Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
WO2010059368A1 (en) Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
WO2010008938A1 (en) Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US8633528B2 (en) Methods and apparatus for increasing memory density using diode layer sharing
JP2011517122A (ja) 下部導体上に形成され選択的に形成されたカーボンナノチューブの可逆抵抗スイッチング素子を使用したメモリセルおよびその形成方法
JP2011508979A (ja) 底部導体の上に形成された選択的に製造されたカーボンナノチューブ可逆抵抗切替素子を使用するメモリセルおよびそれを製造する方法
TW201007942A (en) Carbon-based interface layer for a memory device and methods of forming the same

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid