JP2023541836A - 間隙充填用アモルファスカーボン - Google Patents

間隙充填用アモルファスカーボン Download PDF

Info

Publication number
JP2023541836A
JP2023541836A JP2023515314A JP2023515314A JP2023541836A JP 2023541836 A JP2023541836 A JP 2023541836A JP 2023515314 A JP2023515314 A JP 2023515314A JP 2023515314 A JP2023515314 A JP 2023515314A JP 2023541836 A JP2023541836 A JP 2023541836A
Authority
JP
Japan
Prior art keywords
processing chamber
plasma
amorphous carbon
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023515314A
Other languages
English (en)
Inventor
シアオチュアン ミン,
クァンドゥク ディー. リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023541836A publication Critical patent/JP2023541836A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

基板上にアモルファスカーボン層を堆積させるための方法、及び基板フィーチャをアモルファスカーボン間隙充填で充填するための方法が、説明される。該方法は、処理チャンバの中に炭化水素源を導入すること、処理チャンバの中にプラズマ開始ガスを導入すること、処理チャンバ内で600℃を超える温度でプラズマを生成すること、200nm/hrを超える堆積速度で基板上にアモルファスカーボン層を形成すること、及び処理チャンバをパージすることを含む。【選択図】なし

Description

[0001] 本開示の実施形態は、広くは、集積回路の製造に関し、特に、半導体基板上のアモルファスカーボン層の堆積に関する。
[0002] 集積回路は、単一チップ上に数百万個ものトランジスタ、キャパシタ、及び抵抗器が搭載され得る複雑なデバイスへと進化を遂げている。チップの設計の進化によって、絶えず、より高速でより高密度な回路が必要とされる。より高い回路密度を有するより高速な回路が要求されており、この要求は、かような集積回路の製造に使用される材料についても同様の要求を課している。特に、集積回路部品の寸法がサブミクロン寸法へ低減されるにつれて、デバイスの電気性能を改善するために、銅などの低抵抗導電性材料だけではなく、しばしば低誘電率(low-k)誘電材料と呼ばれる、低誘電率(low dielectric constant)絶縁材料も使用する必要があった。
[0003] 表面欠陥又はフィーチャ変形がないか又はほとんどない低誘電率材料を有するデバイスを製造することは、困難である。約3.0未満の誘電率を有する低誘電率材料は、しばしば、多孔性であり、その後のプロセスステップ中に傷つき易く又は損傷を受け易く、したがって、基板表面上に欠陥が形成される可能性が高くなる。そのような低誘電率材料は、しばしば、脆く、化学機械研磨(CMP)などの従来の研磨プロセスの下で変形することがある。そのような低誘電率材料の表面欠陥及び変形を制限又は低減させる1つの解決策は、パターニング及びエッチングの前に、露出された低誘電率材料の上にハードマスクを堆積させることである。ハードマスクにより、繊細な低誘電体率材料の損傷及び変形が防止される。加えて、ハードマスク層は、エッチング中に低誘電体率材料の除去を防止するために、従来のリソグラフィー技法と併せてエッチングマスクとして作用してもよい。
[0004] アモルファス水素化炭素(a-C:H又はα-C:H)は、とりわけ、金属、アモルファスシリコン、及び二酸化ケイ素又は窒化ケイ素材料などの誘電材料などに対するハードマスクとして使用されてよい材料である。アモルファスカーボンは、長距離の結晶秩序を持たない炭素材料と考えられ、実質的な水素含有量、例えば、約10から45原子%のオーダーの水素を含んでよい。アモルファスカーボンは、化学的不活性、光学的透明性、及び良好な機械的特性を有することが確認されている。a-C:H膜は、様々な技法を介して堆積され得るが、費用効率及び膜特性調整可能性から、プラズマ化学気相堆積(PECVD)が広く使用されている。
[0005] 論理用途とメモリ用途の両方が、炭素間隙充填プロセスを必要とする。炭素材料は、下層の材料に影響を与えることなく、プラズマエッチングを使用して除去され得る。多層3D NANDの製造では、最下層のメモリ孔を保護するために、犠牲層メモリ孔プラグプロセスが所望される。そのようなプロセスは、スループット、ドライエッチング除去性、及び高温(~850℃)での安定性の要件を満たす必要がある。したがって、高温で安定したアモルファスカーボン材料を提供する間隙充填プロセスが必要とされている。
[0006] 1以上の実施形態は、膜を堆積する方法を対象とする。1以上の実施形態では、該方法が、堆積サイクルを実行することを含む。堆積サイクルは、処理チャンバの中に炭化水素源を導入すること、処理チャンバの中にプラズマ開始ガスを導入すること、処理チャンバ内で600℃を超える温度でプラズマを生成すること、基板上にアモルファスカーボン層を形成すること、及び処理チャンバをパージすることを含む。
[0007] 1以上の実施形態では、処理方法が、基板表面上にアモルファスカーボン膜を形成することを含む。基板表面は、その上に少なくとも1つのフィーチャを有する。少なくとも1つのフィーチャは、基板表面から下面へフィーチャ深さだけ延在する。少なくとも1つのフィーチャは、第1の側壁及び第2の側壁によって画定される幅を有する。その場合、アモルファスカーボン膜は、基板表面、少なくとも1つのフィーチャの第1の側壁、第2の側壁、及び下面上に堆積される。
[0008] 1以上の実施形態は、指示命令を含む非一時的なコンピュータ可読媒体を対象とする。該指示命令は、処理チャンバのコントローラによって実行されると、処理チャンバに、堆積サイクルを実行する動作を実行させる。堆積サイクルは、処理チャンバの中に炭化水素源を導入すること、処理チャンバの中にプラズマ開始ガスを導入すること、処理チャンバ内で600℃を超える温度でプラズマを生成すること、基板上にアモルファスカーボン層を形成すること、及び処理チャンバをパージすることを含む。
[0009] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、その幾つかを添付の図面に示す。しかし、添付の図面は、本開示の典型的な実施形態のみを例示しており、本開示は他の等しく有効な実施形態も許容し得るので、添付の図面は、本開示の範囲を限定すると見なすべきではないことに留意されたい。本明細書で説明される複数の実施形態は、限定ではなく例示のために添付図面を用いて記載されており、図面においては同様の要素は類似の参照符号で示されている。
[0010] 1以上の実施形態による基板の断面図を示す。 [0011] 1以上の実施形態による基板の断面図を示す。 [0012] 1以上の実施形態による基板の断面図を示す。 [0013] 1以上の実施形態による基板の断面図を示す。 [0014] 1以上の実施形態による基板の断面図を示す。 [0015] 1以上の実施形態による基板の断面図を示す。 [0016] 1以上の実施形態による基板の断面図を示す。 [0017] 本開示の1以上の実施形態によるクラスタツールを示す。 [0018] 本開示の1以上の実施形態による処理方法のフローチャートを示す。
[0019] 本開示の幾つかの例示的な実施形態を説明する前に、本開示が以下の説明で提示される構成又はプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0020] 本明細書で使用されるときに、「約」という用語は、凡そ又はほとんどを意味し、記載される数値又は範囲の文脈において、数値の±15%以下の変動を意味する。例えば、±14%、±10%、±5%、±2%、又は±1%だけ異なる値は、約の規定を満たす。
[0021] 本明細書及び添付の特許請求の範囲において使用されるときに、「基板」又は「ウエハ」という用語は、プロセスが作用する表面又は表面の一部分を指している。基板に対する言及は、文脈で別様に明示されない限り、基板の一部のみに対する言及であり得ることも、当業者には理解されよう。更に、基板上への堆積に対する言及は、ベア基板と、1以上の膜又はフィーチャが表面上に堆積又は形成された基板と、の両方を意味し得る。
[0022] 本明細書で使用されるときに、「基板」とは、その上で製造プロセス中に膜処理が実行されるところの、任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実施され得る基板表面には、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI::silicon on insulator)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、並びに、金属、金属窒化物、金属合金、及びその他の導電性材料といった任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるものではない。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、基板に前処理プロセスを受けさせてよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示される任意の膜処理ステップが、以下でより詳細に開示されるように基板上に形成された下層上で実行されてもよい。「基板表面」という用語は、文脈が示すように、そのような下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が、基板表面上に堆積された場合、新しく堆積された膜/層の露出面が基板表面となる。
[0023] 本明細書及び添付の特許請求の範囲で使用される際に、「前駆体」、「反応物質」、「反応ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、相互交換可能に使用される。
[0024] 1以上の実施形態では、費用効率及び膜特性の多用途性のために、プラズマ化学気相堆積(PECVD)が、アモルファスカーボン膜を堆積させるために広く使用される。PECVDプロセスでは、例えば、キャリアガス内に同伴された気相炭化水素や液相炭化水素の蒸気などの炭化水素源が、PECVDチャンバの中に導入される。1以上の実施形態では、プラズマが、アルゴン(Ar)、ヘリウム(He)、アンモニア(NH3)、窒素(N2)、水素(H2)、又はそれらの混合物のような雰囲気ガスを有する、遠隔プラズマ源(RPS)又は容量結合プラズマ(CCP)若しくは誘導結合プラズマ(ICP)によって生成される。次いで、プラズマが、チャンバ内で開始されて、励起されたCHラジカルを生成する。励起されたCHラジカルは、チャンバ内に位置付けられた基板の表面に化学的に結合し、その上に所望のアモルファスカーボン膜を形成する。
[0025] PECVD技法は、基板表面付近の反応ゾーンに対して電界を印加することによって、反応ガスの励起及び/又は解離を促進し、反応種のプラズマを生成する。プラズマ内の核種の反応性は、化学反応が生じるために必要とされるエネルギーを低減させ、事実上、そのようなPECVDプロセスのために必要とされる温度を下げる。
[0026] PECVDプロセスに関連して本明細書で説明される複数の実施形態は、任意の適切な薄膜堆積システムを使用して実行され得る。適切なシステムの例には、DXZ(登録商標)処理チャンバ、PRECISION 5000(登録商標)システム、PRODUCER(登録商標)システム、PRODUCER(登録商標)GTTMシステム、PRODUCER(登録商標)XP Precision(商標)システム、PRODUCER(登録商標)SETMシステム、ENDURA(登録商標)システム、Sym3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバを使用してよいCENTURA(登録商標)システムが含まれ、これらは全て、カリフォルニア州サンタクララのカリフにあるアプライドマテリアルズ社から購入可能である。PECVDプロセスを実行することができる他のツールも、本明細書で説明される複数の実施形態から利益を得るように適合されてよい。加えて、本明細書で説明されるPECVDプロセスを可能にする任意のシステムが有利に使用され得る。本明細書で説明される任意の装置は、例示的なものであって、本明細書に記載された実施形態の範囲を限定するものとして理解又は解釈するべきではない。
[0027] アモルファスカーボン層を使用するデバイス製造業者は、以下の2つの重要な要件が満たされることを要求する。すなわち、(1)下層の材料をドライエッチングしている最中のハードマスクの高い選択性、及び(2)リソグラフィック・レジストレーション精度のための可視スペクトルにおける高い光透過性である。本明細書で使用されるときに、「ドライエッチング」という用語は、概して、材料が化学溶液中への浸漬よっても溶解しないエッチング処理のことを指しており、これには、反応性イオンエッチング、スパッタエッチング、及び気相エッチングなどの方法が含まれる。
[0028] ハードマスク層は、しばしば、狭い且つ/又は深いコンタクトエッチング用途及び高アスペクト比(HAR)エッチング用途で使用され、その場合、フォトレジストが、下層をマスキングするのに十分な厚さではないことがある。これは、限界寸法が収縮するときに特にあてはまる。
[0029] V-NAND又は3D-NAND構造は、フラッシュメモリ用途で使用される。V-NANDデバイスは、垂直に積み重ねられたNAND構造で、多数のセルがブロック状に配置されている。本明細書で使用されるときに、用語「3D NAND」は、メモリセルが複数の層に積層される、一種の電子(ソリッドステート)不揮発性コンピュータ記憶メモリを指す。3D NANDメモリは、一般に、フローティングゲートトランジスタを含む複数のメモリセルを含む。従来、3D NANDメモリセルは、ビット線の周りに三次元で配置された複数のNANDメモリ構造を含む。
[0030] 3D NAND技術における重要なステップは、スリットエッチングである。各技術ノードの層の数が増加するにつれて、スリットエッチングプロファイルを制御するために、ハードマスクフィルムの厚さは、高アスペクトエッチングプロファイルに耐えるために比例して増加する必要がある。アモルファスカーボン(aC:H)膜は、硬度が高く、スリットエッチング後の剥がしが容易であるために使用され得る。多層3D NANDの製造では、最下層のメモリ孔を保護するために、犠牲層メモリ孔プラグプロセスが必要とされる。そのようなプロセスは、スループット、ドライエッチング除去性、及び高温(例えば、850℃)での安定性の要件を満たす必要がある。
[0031] 他のアプローチは、アモルファスシリコンベースのプロセス又は他のアモルファスカーボンベースのプロセスを含む。アモルファスシリコン材料は、湿式除去プロセスを必要とする。それは、酸化物/窒化物スタックに悪影響を及ぼす。他のアモルファスカーボン材料は、堆積速度が遅い、スループットが遅い、及び高温で不安定という問題を抱えている。
[0032] 1以上の実施形態では、化学気相堆積システム、特にプラズマ化学気相堆積(PECVD)システムにおいて、共形性(conformality)を改善したアモルファスカーボン(a-C:H)材料を堆積するための方法が提供される。層の共形性は、典型的には、フィーチャの側壁上に堆積した層の平均厚さの、基板のフィールドすなわち上面上の同じ堆積した層の平均厚さに対する比(パーセンテージで表されてよい)によって定量化される。本明細書で説明される方法によって堆積された層は、約30%を超える(例えば70%以上)、約7:10以上(例えば約80%以上)、約4:5以上、約100%まで、約1:1、又は約200%以上まで、約2:1という共形性を有することが観察されている。
[0033] 1以上の実施形態のアモルファスカーボン材料は、酸素(O2)プラズマを介して容易に除去され得、酸化物/窒化物スタックへの影響を排除する。1以上の実施形態のプロセスは、有利なことに、他の方法の10倍を超えて大きい堆積速度を提供する。更に、1以上の実施形態のプロセスは、有利なことに、高温での安定性も有しながら、他のアモルファスカーボン材料と比較して堆積プロファイルの調整可能性を提供する。
[0034] 特に、a-C:H層の共形な堆積を改善するための方法が提供される。共形な堆積は、1:2以上の炭素の水素に対する比(例えば、2:3以上の炭素の水素に対する比)を有する前駆体を使用することによって改善されてよい。その場合、プラズマ開始ガスは、ヘリウム、水素、窒素、アルゴン、又はそれらの組み合わせから選択される。その場合、前駆体流量がプラズマ開始ガス流量に対して増加し、堆積圧力が増加し、堆積温度が増加し、より低いRF電力が印加され、プラズマ環境は低減された堆積速度を有し、アモルファスカーボンは複数層内に堆積し、及びそれらの組み合わせである。本明細書の堆積プロセスは、適切な処理システムにおいて実行されてよい。
[0035] 説明目的で、間隙充填用途のためのアモルファスカーボン膜の堆積が説明される。しかし、当業者であれば、説明される前駆体及び方法は、間隙充填用途に限定されるものではなく、任意のPECVD膜形成用に使用され得ることを理解するであろう。図1Aは、フィーチャ110を有する基板100の部分断面図を示している。この図は例示のために単一のフィーチャを有する基板を示しているが、当業者は、2つ以上のフィーチャが存在し得ることを理解するだろう。フィーチャ110の形状は、トレンチ及び円筒形ビアを含むが、それらに限定されるわけではない任意の好適な形状であり得る。これに関して使用されるときに、「フィーチャ(feature)」という用語は、任意の意図的に形成された表面不規則物を意味する。フィーチャの適切な例は、限定しないが、頂部、2つの側壁、及び下部を有するトレンチ、並びに頂部及び2つの側壁を有するピークを含む。フィーチャは、任意の好適なアスペクト比(フィーチャの深さのフィーチャの幅に対する比)を有し得る。幾つかの実施形態では、アスペクト比が、約5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、又は50:1以上である。
[0036] 1以上の実施形態では、基板100が基板表面120を有する。少なくとも1つのフィーチャ110により、基板表面120内に開口部が形成される。フィーチャ110は、基板表面120から下面112に至る深さDまで延在する。フィーチャ110は、フィーチャ110の幅Wを画定する第1の側壁114及び第2側壁116を有する。側壁及び下部によって形成されるオープンエリアは、間隙とも呼ばれる。
[0037] 本開示の1以上の実施形態は、基板表面上に少なくとも1つのフィーチャを有する基板表面が提供される処理方法を対象とする。これに関して使用されるときに、「提供される(provided)」という用語は、更なる処理のために基板がある位置又は環境の中に置かれることを意味する。
[0038] 図1Bは、フィーチャ110を有する基板100の部分断面図を示す。図1Bで示されているように、アモルファスカーボン膜150は、基板表面120上、並びに、少なくとも1つのフィーチャ110の第1の側壁114、第2の側壁116、及び下面112上に形成される。アモルファスカーボン膜150は、実質的に継ぎ目(seam)が形成されないように、少なくとも1つのフィーチャ110を充填する。継ぎ目は、フィーチャ110の側壁の間において形成されるが、必ずしもその中間において形成されるのではない間隙である。これに関して使用されるときに、「実質的に継ぎ目がない」という用語は、側壁間の膜内に形成される任意の間隙が、側壁の断面積の約1%未満であることを意味する。
[0039] アモルファスカーボン膜150は、任意の適切なプロセスによって形成され得る。幾つかの実施形態では、アモルファスカーボン膜150を形成することが、プラズマ化学気相堆積(PECVD)によって行われる。別の言い方をすれば、アモルファスカーボン膜150は、プラズマ化学気相堆積プロセスによって堆積され得る。
[0040] 図1Cは、フィーチャ110を有する基板100の部分断面図を示している。その場合、アモルファスカーボン膜150は、基板表面120と実質的に同一平面上にあるように、平坦化されている。平坦化は、化学機械研磨(CMP)やエッチングなどを含むが、これらに限定されない当業者に知られた任意の手段で行われてよい。
[0041] 本発明の複数の態様は、アモルファスカーボン層の改善された共形な堆積を提供する。改善された共形な堆積は、1:2以上の炭素の水素に対する比(例えば、2:3以上の炭素の水素に対する比)を有する前駆体を使用するプロセスによって実現されてよく、ヘリウム、水素、窒素、アルゴン、又はそれらの組み合わせの群から選択されたプラズマ開始ガスを用いて実現されてよく、前駆体流量をプラズマ開始ガス流量に対して増加させることにおいて実現されてよく、堆積圧力を増加させることにおいて実現されてよく、堆積温度を増加させることにおいて実現されてよく、より低いRF電力の印加において実現されてよく、低減された堆積速度を有するプラズマ環境を用いて実現されてよく、ガス分配器と基板表面との間隔を増加させることによって実現されてよく、アモルファスカーボンを複数層内に堆積させることによって実現されてよく、及びそれらの組み合わせである。本明細書で説明されるプロセスは、高められた堆積速度及びより良好な熱安定性を提供し、それによって、より共形な堆積プロセスを提供することが信じられている。
[0042] 堆積プロセスの1以上の実施形態では、アモルファスカーボン層が、図4に関連して説明されるプロセスチャンバ300などの処理チャンバの中に、炭化水素源及びプラズマ開始ガスを導入することを含む、プロセスによって形成される。炭化水素源は、1以上の炭化水素化合物と任意選択的なキャリアガス(アルゴンなど)との混合物である。
[0043] 1以上の炭化水素化合物は、1:2以上(例えば、1:2を超える)の炭素原子の水素原子に対する比を有する化合物を含んでよい。例えば、2:3以上(例えば、2:3から2:1(例えば、約2:3から約3:2))の炭素の水素(又は水素に対するフッ素などの置換基)に対する比は、改善された共形性を有するアモルファスカーボン膜を生成することが観察されている。
そのような説明された炭素原子の水素原子に対する比を有する炭化水素化合物は、より等方的な堆積プロセスをもたらすことが信じられている。
[0044] 炭化水素化合物は、炭化水素化合物の部分的に又は完全にドープされた誘導体であってよく、それらも本発明の方法から利益を得てよい。誘導体には、炭化水素化合物の窒素、フッ素、酸素、ヒドロキシル基、及びホウ素含有誘導体が含まれる。
[0045] 概して、炭化水素源内に含まれてよい炭化水素化合物又はその誘導体は、化学式CAHBFCによって記述されてよい。ここで、Aは、1と24との間の範囲を有し、Bは、0と50との間の範囲を有し、Cは0から50の範囲を有し、AのB+Cに対する比は、1:2以上(例えば、1:2を超える)である。例えば、AのB+Cに対する比は、2:3以上(例えば、2:3から2:1(更に例えば、2:3から3:2))であってよい。C=0である1以上の実施形態では、炭化水素源が、化学式CxHyを有してよい。ここで、2/3=<x/y=<3/2である。ここで、x/yは、それぞれの原子の数である。代替的に、酸素及び/又は窒素置換化合物では、炭化水素源が、化学式CANBOCFDNEによって記述されてよい。ここで、Aは、1と24との間の範囲を有し、Bは、0と50との間の範囲を有し、Cは、0から10の範囲を有し、Dは、0から50の範囲を有し、Eは、0から10の範囲を有し、AのB+C+D+Eに対する比は、1:2以上(例えば、1:2を超える)である。例えば、AのB+C+D+Eに対する比は、2:3以上(例えば、2:3から2:1(更に例えば、2:3から3:2))であってよい。
[0046] 適切な炭化水素化合物としては、以下の複数の化合物、例えば、アセチレン(CH)、ビニルアセチレン、及びそれらの誘導体などのアルキン、ベンゼン、スチレン、トルエン、キシレン、ピリジン、エチルベンゼン、アセトフェノン、メチルベンゾエート、酢酸フェニル、フェノール、クレゾール、フランなどの芳香族炭化水素、α-テルピネン、シメン、1.1.3.3.-テトラメチルブチルベンゼン、t-ブチルエーテル、t-ブチルエチレン、メチルメタクリレート、及びt-ブチルフルフリルエーテル、化学式C3H2及びC5H4を有する化合物、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼンなどを含むハロゲン化芳香族化合物のうちの1以上が含まれる。更なる適切な炭化水素には、アルケン類(例えば、エチレン、プロピレン、ブチレン、ペンテンなど)、ジエン類(例えば、ブタジエン、イソプレン、ペンタジエン、ヘキサジエンなど)、及び、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどを含む、ハロゲン化されたアルケン類が含まれる。1:2を超える炭素原子の水素原子に対する比を有する前駆体の一例は、ジアセチレンであってよいC4H2である。
[0047] 更に、1以上の実施形態では、前駆体が、3:1以上(例えば、5:1)(例えば10:1以上)の炭素原子の水素原子に対する比を有する。炭素の水素に対する比が増加するにつれて、炭素原子は、堆積中に隣接する炭素原子と結合し、複雑な三次元ショートオーダー構造のウェブを形成することによって、堆積した膜の共形性をより良好にすることが信じられている。
[0048] アモルファスカーボン堆積プロセスは、炭化水素化合物及びプラズマが堆積を開始し始める前及び/又はそれと同時に、チャンバの中に導入されるプラズマ開始ガスの使用を含む。プラズマ開始ガスは、ヘリウムガス、水素ガス、窒素ガス、アルゴンガス、及びそれらの組み合わせを含むが、これらに限定されない、高イオン化ポテンシャルガス(high ionization potential gas)であってよい。プラズマ開始ガスはまた、化学的に不活性ガスであってもよい。ヘリウムガス、窒素ガス、又はアルゴンガスなどである。ガスに適したイオン化ポテンシャルは、約5eV(電子ポテンシャル)から25eVである。プラズマ開始ガスは、炭化水素源よりも前にチャンバの中に導入されてもよい。それは、安定したプラズマが生成されることを可能にし、アーク放電の可能性も低くする。高イオン化ポテンシャルを有するプラズマ開始ガスを使用することで、堆積中の膜の異方性エッチングが少なくなり、それによって、アモルファスカーボン膜の堆積における共形性が向上することが観察された。希釈ガスとしての不活性ガスやアルゴンなどのキャリアガスが、プラズマ開始ガス、炭化水素源、又はそれらの組み合わせと共に導入されてよい。
[0049] 炭化水素化合物及びプラズマ開始ガスは、アモルファスカーボン堆積の場合、約1:100以上(例えば、約1:100から100:1(例えば、約1:10から約10:1))の炭化水素化合物のプラズマ開始ガスに対するガス流量比で導入されてよい。一実施形態では、約1:5以上(例えば、約1:5から約2:1(例えば、約1:2から約1:1))の炭化水素化合物のプラズマ開始ガスに対する流量比が、アモルファスカーボン堆積に使用されてよい。炭化水素化合物のプラズマ開始ガスに対する流量比を高めると、より低い比よりも共形性が改善されることが観察された。
[0050] アモルファスカーボン層は、約2Torr以上(例えば、約2Torrから約20Torr、一実施形態では、約7Torr以上(例えば、約7Torrから約9Torr))のチャンバ圧力を維持することによって、処理ガスから堆積されてよい。圧力が増加するにつれて共形性が向上することが観察された。そして、イオンは、基板に到達する前に散乱を経験し、それによって、エッチングする能力を幾らか失い、ラジカルは、より多くの散乱を経験し、基板表面によりランダム且つ等方的である角度で到達し、より等方的で且つ共形性の高い膜成長を行うと信じられている。
[0051] 1以上の実施形態のアモルファスカーボン層は、有利なことに、600℃を超える基板温度(約600℃から約800℃の温度範囲(例えば、約600℃、約650℃、約700℃、約750℃、及び約800℃の温度)を含む)を維持するチャンバ内で炭化水素源から堆積される。理論に束縛されることを意図しないが、高温での堆積は、改善された熱安定性を有するアモルファスカーボン間隙充填膜を生成すると考えられている。驚くべきことに、アモルファスカーボン膜を高温で堆積させると、堆積速度が上がり、共形性が改善されることが観察された。また、温度が高くなると、吸着される炭素前駆体の拡散性又は移動度が増加し、より等方的な堆積及びより改善された共形性がもたらされる。
[0052] 1以上の実施形態では、アモルファスカーボン層が、200nm/hrを超える(300nm/hrを超える、及び350nm/hrを超えることを含む)堆積速度を有する。
[0053] 1以上の実施形態では、炭化水素源及びプラズマ開始ガスが、チャンバの中に導入され、プラズマが堆積を開始し始める。二周波(dual-frequency)RFシステムを使用して、プラズマを生成することができる。二周波RF電力の印加は、フラックス及びイオンエネルギーの独立した制御を提供することが信じられている。というのも、膜表面に当たるイオンのエネルギーは、膜密度に影響すると考えられているからである。高周波プラズマは、プラズマ密度を制御し、低周波プラズマは、基板表面に当たるイオンの運動エネルギーを制御すると信じられている。混合RF電力の二周波源は、約10MHzから約30MHzの範囲(例えば、約13.56MHz)の高周波電力、ならびに約10kHzから約1MHzの範囲(例えば、約350kHz)の低周波電力を提供する。二周波RFシステムを使用してアモルファスカーボン膜を堆積させるときに、第2のRF電力の全混合周波数電力に対する比は、好適には、約0.6対1.0(0.6:1)未満である。印加されるRF電力及び1以上の周波数の使用は、基板サイズ及び使用される装備に基いて変更されてよい。単一周波数のRF電力印加が、使用されてもよく、典型的には、本明細書で説明されるような高周波電力の印加である。
[0054] プラズマは、RF電力を約0.01W/cmから約5W/cm(例えば、約0.01W/cmから約1W/cm(例えば、約0.1W/cm))の電力密度で基板表面エリアに印加することによって生成されてよい。電力印加は、300mmの基板に対して約1ワットから約2000ワット(例えば、約10ワットから約200ワット(例えば、約20ワット))であってよい。
[0055] 理論に束縛されることを意図しないが、プラズマプロセスは、よりランダムな堆積パターンで炭化水素化合物(すなわち、ラジカル)を基板表面上に到着させるエネルギッシュなイオンの数を減らすことによって、共形性を改善するためにアモルファスカーボンの増加率を減らし、それによって、より等方的な堆積パターンでの膜成長をもたらすと考えられる。プラズマ堆積を増やすことで、吸着する炭素前駆体が基板の表面上に拡散し、より共形な層を提供することを可能にするより高い堆積速度が得られることも観察されている。
[0056] 300mmの円形基板用の例示的な堆積プロセスは、ヘリウムなどのプラズマ開始ガス及びアセチレン(C2H2)などの炭化水素源を採用する。該プロセスは、ヘリウムなどのプラズマ開始ガスを約400sccmから約8000sccmの流量で供給すること、アセチレン(C2H2)などの炭化水素源を約400sccmから8000sccmの流量で供給すること、約10Wから約2000Wの二周波RF電力を印加すること、チャンバ圧力を約2Torrから約20Torrで維持すること、及びチャンバ温度を600℃より上に維持することを含んでよい。このプロセス範囲は、アモルファスカーボン層に対して、約30%から約100%の共形性(フィーチャの側壁上に堆積した層の平均厚さの、基板のフィールドすなわち上面上の同じ堆積した層の平均厚さに対する比)で、約200nm/hrから約1000nm/hrの範囲の堆積速度を提供する。
[0057] 堆積プロセスの1以上の実施形態では、複数の個別のアモルファスカーボン堆積が、アモルファスカーボン層を形成するように実行される。本明細書で説明されるような複数の堆積プロセスの一態様では、堆積ステップが、エッチングステップの前に行われる。エッチングステップでは、プラズマ開始ガス、希釈ガス、及び/又は前駆体が、低減された又はゼロ堆積速度で流され得る。適切なプラズマ開始ガス、希釈ガス、及び/又は前駆体は、約100sccmから約40000sccmの流量でチャンバの中に流され得る。プラズマ開始ガス及び/又は希釈ガスが使用される場合、プラズマが、エッチングステップに対して開始されてよい。1以上の実施形態では、炭素層の形態を調整(trim)するためにエッチングプラズマが使用される。調整後、共形性は改善されている。1以上の実施形態では、エッチングガス又はエッチャントが、水素(H2)、アンモニア(NH3)、亜酸化窒素(N2O)、酸素(O2)などのうちの1以上を含む。次いで、堆積及びエッチングステップは、所望の厚さが得られるまで繰り返されてよく、1から100サイクル(例えば、10から50サイクル(例えば、30サイクル))であってよく、又は代替的にアモルファスカーボン材料の厚さの約1%から約100%(例えば、約2%から約10%)の間(例えば3.3%)で堆積されてよい。個々のサイクルは、各サイクルごとに約1Åから約1000Åの厚さのアモルファスカーボン材料を堆積させてよく、約10Åから約15000Åの厚さを有するアモルファスカーボン層を形成する。周期的堆積プロセスは、上述されたプロセスパラメータ調整のうちの1以上を使用してよい。
[0058] 1以上の実施形態では、多層堆積スキームが共形性を改善する。一般的に、所与のアモルファスカーボン膜厚さに対して層の数が増加する(個々の層厚さが小さくなり、繰り返しが多くなる)と、共形性が改善される。
[0059] 本発明の方法の1つの大きな利点は、図2A~図2Bで示されているように、他のアモルファスカーボン堆積プロセスよりも共形性が向上することである。図2Aは、フィーチャ210を有する基板200の部分断面図を示している。1以上の実施形態では、基板200が基板表面220を有する。少なくとも1つのフィーチャ210により、基板表面220内に開口部が形成される。フィーチャ210は、基板表面220から下面212に至る深さDfまで延在する。フィーチャ210は、フィーチャ210の幅Wfを画定する第1の側壁214及び第2の側壁216を有する。側壁及び下部によって形成されるオープンエリアは、間隙とも呼ばれる。
[0060] 図2Bは、フィーチャ210及び基板200上に形成されたアモルファスカーボン層250を有する基板200の概略断面図を示している。アモルファスカーボン層250は、本発明の方法を使用して堆積された膜の典型的な外観を示している。定性的には、アモルファスカーボン層250は、高度に共形であり、フィーチャ210の側壁214、216及び下面212を完全にカバーする。定量的には、アモルファスカーボン層250は、約30%から約100%のオーダーの共形性を有してよい。例えば、約70%から約90%である。その場合、共形性は、側壁214、216上に堆積したアモルファスカーボン層250の平均厚さSの、基板200の上面220上のアモルファスカーボン層250の平均厚さTに対する比として規定される。
[0061] 図3Aは、フィーチャ270を有する基板260の部分断面図を示している。基板260は、基板表面280を有する。少なくとも1つのフィーチャ270により、基板表面280内に開口部が形成される。少なくとも1つのフィーチャ270は、基板表面280から下面272に至るフィーチャ深さDfまで延在する。少なくとも1つのフィーチャ270は、少なくとも1つのフィーチャ270の幅Wfを画定する第1の側壁274及び第2の側壁276を有する。側壁274、276及び下部272によって形成されるオープンエリアは、間隙とも呼ばれる。1以上の実施形態では、幅Wfが、少なくとも1つのフィーチャ270の深さDfに沿って均一である。他の複数の実施形態では、幅Wfが、少なくとも1つのフィーチャ270の上部において、少なくとも1つのフィーチャ270の下面272における幅Wfよりも広い。また更なる複数の実施形態では、幅Wfが、少なくとも1つのフィーチャ270の中間において、少なくとも1つのフィーチャ270の上部における幅Wfよりも広く、少なくとも1つのフィーチャ270の下面272における幅Wfよりも広い。
[0062] 1以上の実施形態では、基板260が、半導体基板260上に堆積した窒化物材料264と酸化物材料266との複数の交互層を含む膜スタックである。
[0063] 半導体基板262は、任意の適切な基板材料であってよい。1以上の実施形態では、半導体基板262が、半導体材料(例えば、シリコン(Si)、炭素(C)、ゲルマニウム(Ge)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、リン化インジウム(InP)、ヒ化ガリウムインジウム(InGaAs)、ヒ化アルミニウムインジウム(InAlAs)、セレン化銅インジウムガリウム(CIGS)、他の半導体材料、又はそれらの任意の組み合わせ)を含む。1以上の実施形態では、半導体基板262が、シリコン(Si)、ゲルマニウム(Ge)、ガリウム(Ga)、ヒ素(As)、インジウム(In)、リン(P)、銅(Cu)、又はセレン(Se)のうちの1以上を含む。基板262が形成されてよい材料の幾つかの例が本明細書で説明されるが、パッシブ及びアクティブ電子デバイス(例えば、トランジスタ、メモリ、コンデンサ、インダクタ、抵抗器、スイッチ、集積回路、増幅器、光電子デバイス、又は任意の他の電子デバイス)が構築されてよい基礎として機能してよい任意の材料が、本開示の精神及び範囲内に含まれる。
[0064] 1以上の実施形態では、少なくとも1つのフィーチャ270が、メモリ孔又はワードラインスリットを含む。したがって、1以上の実施形態では、基板260が、メモリデバイス又は論理デバイス、例えば、NAND、VNAND、DRAMなどを含む。
[0065] 図3Bは、本開示の1以上の実施形態による間隙充填プロセスの断面概略図を示している。1以上の実施形態では、アモルファスカーボン膜290が、基板表面280上、並びに、少なくとも1つのフィーチャ270の壁274、276及び下部272上に形成される。図3Bで示されているように、1以上の実施形態では、アモルファスカーボン膜290が、少なくとも1つのフィーチャ270の幅Wf内で継ぎ目がない。
[0066] 幾つかの実施形態では、アモルファスカーボン膜290が、少なくとも1つのフィーチャ270上に共形に形成される。本明細書で使用されるときに、「共形な」又は「共形に」という用語は、膜の平均厚さに対して、1%未満のばらつきを有する厚さで露出面に付着して、その露出面を均一にカバーする層を指す。例えば、1000Åの厚さの膜は、その厚さのばらつきが10Å未満になる。この厚さ及びばらつきは、凹部の縁部、角部、側部、及び下部を含む。例えば、本開示の様々な実施形態において、PECVDによって堆積された共形層は、複雑な表面上の本質的に均一な厚さの堆積領域にわたりカバーを提供することになる。
[0067] 幾つかの実施形態では、アモルファスカーボン膜290が、連続的な膜である。本明細書で使用されるときに、「連続的」という用語は、堆積層の下層の材料を露出させる間隙又はベアスポット(bare spot)がないように、露出面全体をカバーする層を指す。連続的な層は、膜の全体表面積の約1%未満の表面積しか有さない間隙又はベアスポットを有してよい。幾つかの実施形態では、アモルファスカーボン膜290が、ピンホールがない膜である。本明細書で使用されるときに、「ピンホールがない」という用語は、堆積層の下層の材料を露出させる間隙、ベアスポット、孔、ピンホールなどがないように、露出面全体をカバーする層を指す。ピンホールがない層は、膜の全体表面積の約1%未満の表面積しか有さない孔又はピンホールを有してよい。
[0068] 図5を参照すると、本開示の1以上の実施形態は、膜を堆積させる方法500を対象とする。幾つかの実施形態では、方法500が、前処理動作506を含む。前処理は、当業者に知られている任意の適切な前処理であり得る。適切な前処理は、予熱、洗浄、浸漬、自然酸化物除去、又は接着層(例えば、窒化チタン(TiN))の堆積を含むが、それらに限定されない。
[0069] 堆積510では、基板(又は基板表面)上に膜を堆積させるためにプロセスサイクルが実行される。堆積プロセスは、基板上に膜を形成するための1以上の動作を含み得る。動作512では、炭化水素源が処理チャンバの中に導入される。動作514では、プラズマ開始ガスが処理チャンバの中に導入される。動作516では、処理チャンバ内で600℃を超える温度でプラズマが生成される。
[0070] 動作518では、アモルファスカーボン層が基板上に形成される。動作520では、処理チャンバがパージされる。
[0071] 判定段階522では、堆積した膜の厚さ又はサイクルの数が考慮される。堆積した膜が所定の厚さに到達した場合、又は所定の数のプロセスサイクルが実行された場合、方法500は、任意選択的な後処理動作524に移動する。堆積した膜の厚さ又はプロセスサイクルの数が、所定の閾値に到達していない場合、方法500は、動作510に戻り、動作512において炭化水素源を再び導入して継続する。
[0072] 任意選択的な後処理動作524は、例えば、膜特性を改修するためのプロセス(例えば、アニーリング)、又は更なる膜を成長させるための更なる膜堆積プロセス(例えば、更なるALD又はCVDプロセス)であり得る。幾つかの実施形態では、後処理動作524が、堆積した膜の特性を改修するプロセスであり得る。幾つかの実施形態では、後処理動作524が、膜をアニーリングすることを含む。幾つかの実施形態では、アニーリングが、約300℃、400℃、500℃、600℃、700℃、800℃、900℃、又は1000℃の範囲の温度で行われる。幾つかの実施形態のアニーリング環境は、不活性ガス(例えば、分子窒素(N2)、アルゴン(Ar))又は還元ガス(例えば、分子水素(H2)又はアンモニア(NH3))又は酸化剤(例えば、限定されないが、酸素(O2)、オゾン(O3)、若しくは過酸化物)のうちの1以上を含む。アニーリングは、任意の適切な長さの時間にわたって実行され得る。幾つかの実施形態では、膜が、約15秒から約90分の範囲、又は約1分から約60分の範囲の所定の時間にわたってアニールされる。幾つかの実施形態では、堆積したままの膜をアニーリングすることが、密度を増加させ、抵抗を減少させ、及び/又は膜の純度を高める。
[0073] アプライドマテリアルズ(登録商標)から入手できるCentura(登録商標)、Dual ACP、Producer(登録商標)GT、Precision(登録商標)、及びEndura(登録商標)プラットフォームを含む、様々なマルチ処理プラットフォーム、ならびに他の処理システムが利用されてよい。図4を参照すると、クラスタツール300が、複数の側面を有する少なくとも1つの中央移送ステーション314を含む。ロボット316が、中央移送ステーション314内に配置され、ロボットブレード及びウエハを複数の側面の各々に移動させるように構成されている。
[0074] 一般的に、クラスタツールは、基板の中心検出及び配向、ガス抜き、アニーリング、堆積、並びに/又はエッチングを含む、様々な機能を実行する複数のチャンバを備えたモジュール式システムである。1以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバ及び中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復搬送することができるロボットを収容してよい。移送チャンバは、通常、減圧状態に維持され、基板を、あるチャンバからクラスタツールの前端に配置された別のチャンバ及び/又はロードロックチャンバへ往復搬送するための中間段階を設ける。しかし、実際のチャンバの構成及び組み合わせは、本明細書で説明されるプロセスの具体的なステップを実施するという目的のために変更され得る。使用され得るその他の処理チャンバは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、熱処理(RTPなど)、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板プロセスを含むが、それらに限定されるわけではない。クラスタツール上でチャンバ内の処理を実施することにより、後続膜を堆積する前に、酸化を伴わずに、空気中の不純物による基板の表面汚染を回避することができる。
[0075] 図4を参照すると、クラスタツール300は、中央移送ステーションに接続された(プロセスステーションとも呼ばれる)複数の処理チャンバ308、310、及び312を備える。様々な処理チャンバは、隣接するプロセスステーションから隔離された別個の処理領域を提供する。処理チャンバは、予洗浄チャンバ、堆積チャンバ、アニーリングチャンバ、エッチングチャンバ、選択的エッチングチャンバなどを含むが、それらに限定されない任意の適切なチャンバであり得る。プロセスチャンバ及び構成要素の特定の配置は、クラスタツールに応じて変更することができ、本開示の範囲を限定するものとして解釈されるべきではない。
[0076] 幾つかの実施形態では、クラスタツール300が、半導体材料層224を選択的にエッチング/トリミングするための等方性エッチングチャンバを含む。幾つかの実施形態の等方性エッチングチャンバは、1以上のフッ素ベースのドライエッチングチャンバを含む。幾つかの実施形態では、クラスタツール300が、中央移送ステーションに接続された予洗浄チャンバを含む。
[0077] 図4で示されている実施形態では、ファクトリインターフェース318が、クラスタツール300の前面に接続されている。ファクトリインターフェース318は、ファクトリインターフェース318の前面319上に、ローディング及びアンローディングのためのチャンバ302を含む。
[0078] ローディングチャンバ及びアンローディングチャンバ302のサイズ及び形状は、例えば、クラスタツール300内で処理される基板に応じて変更され得る。図示されている実施形態では、ローディングチャンバ及びアンローディングチャンバ302が、カセット内に配置された複数のウエハを有するウエハカセットを保持するようにサイズ決定されている。
[0079] ロボット304が、ファクトリインターフェース318内にあり、ローディングチャンバとアンローディングチャンバ302との間で移動することができる。ロボット304は、ローディングチャンバ302内のカセットからファクトリインターフェース318を通してロードロックチャンバ320に、ウエハを移送することができる。ロボット304はまた、ロードロックチャンバ320からファクトリインターフェース318を通してアンローディングチャンバ302内のカセットに、ウエハを移動することもできる。
[0080] 幾つかの実施形態のロボット316は、一度に複数のウエハを独立して移動させることができるマルチアームロボットである。ロボット316は、移送チャンバ314の周囲のチャンバ間でウエハを移動させるように構成されている。個々のウエハは、第1のロボット機構の遠位端に位置付けられたウエハ移送ブレード上に担持される。
[0081] システムコントローラ357が、ロボット316、並びに、複数の処理チャンバ308、310、及び312と通信する。システムコントローラ357は、処理チャンバ及びロボットを制御することができる任意の適切な構成要素であり得る。例えば、システムコントローラ357は、中央処理装置(CPU)392、メモリ394、入力/出力(I/O)396、及びサポート回路398を含む、コンピュータであり得る。
[0082] プロセスは、概して、プロセッサによって実行されたときに、プロセスチャンバに本開示のプロセスを実行させるソフトウェアルーチンとして、システムコントローラ357のメモリ内に記憶されてよい。ソフトウェアルーチンはまた、プロセッサによって制御されるハードウェアから遠隔に位置付けられた第2のプロセッサ(図示せず)によって、記憶及び/又は実行されてもよい。本開示の方法の一部又は全部をハードウェア内で実行することもできる。したがって、プロセスは、ソフトウェア内に実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路若しくは他の種類のハードウェア実施態様としての、又はソフトウェアとハードウェアとの組み合わせとしてのハードウェア内で実行され得る。ソフトウェアルーチンは、プロセッサよって実行されたときに、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する専用コンピュータ(コントローラ)に変換する。
[0083] 幾つかの実施形態では、システムコントローラ357が、基板上のアモルファスカーボンの堆積を制御するための構成を有する。
[0084] 1以上の実施形態では、処理ツールが、ウエハを移動させるように構成されたロボットを備える中央移送ステーションと、複数のプロセスステーションであって、各プロセスステーションが中央移送ステーションに接続され、隣接するプロセスステーションの処理領域から分離された処理領域を提供し、堆積チャンバ、プラズマチャンバ、硬化チャンバ、エッチングチャンバ備える複数のプロセスステーションと、中央移送ステーション及び複数のプロセスステーションに接続されたコントローラとを備える。該コントローラは、プロセスステーション間でウエハを移動させるようにロボットを起動し、プロセスステーションの各々において生じるプロセスを制御するように構成されている。
[0085] 次に、本開示は、以下の複数の実施例を参照して説明される。本開示の幾つかの例示的な実施形態が説明される前に理解するべきことは、本開示が以下の説明で提示される構成又は処理ステップの詳細に限定されないということである。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0086] 複数の実施例
[0087] 実施例1
[0088] 約2000sccmの流量のアルゴンを処理チャンバに提供することと、約300sccmの流量のC2H2を処理チャンバに提供することと、約200Wの高周波RF電力(13.56MHz)を印加することと、約650℃の堆積温度を維持することと、約10Torrのチャンバ圧力を維持することとによって、約300ミルの間隔で1800nm/hrの堆積速度を生成し、アモルファスカーボン層が堆積された。約83%の密なエリアから約96%のオープンエリアのステップカバー(共形性の尺度)の共形性を実現することが観察された。
[0089] 実施例2比較
[0090] 約100sccmの流量のヘリウムを処理チャンバに提供することと、約100sccmの流量のC3H6を処理チャンバに提供することと、約100sccmの流量のNH3を処理チャンバに提供することと、約600Wの高周波RF電力(13.56MHz)を印加することと、約400℃の堆積温度を維持することと、約9Torrのチャンバ圧力を維持することとによって、約400ミルの間隔で30nm/hrの堆積速度を生成し、アモルファスカーボン層が堆積された。約83%の密なエリアから約96%のオープンエリアのステップカバー(共形性の尺度)の共形性を実現することが観察された。
[0091] 「下」、「下方」、「下側」、「上」、「上方」、「上側」などの、空間的な相対語は、図面中で示されているように、1つの要素又はフィーチャの、別の(1以上の)要素又は(1以上の)フィーチャに対する関係を説明することを容易にするために、本明細書で使用されてよい。空間的な相対語は、図面中で描かれている配向に加えて、使用中又は動作中のデバイスの種々の配向を包含することが意図されていることを理解されたい。例えば、図面内のデバイスがひっくり返された場合、他の要素又は特徴の「下方」又は「下」として説明された要素は、他の要素又は特徴の「上」に配向されることになる。したがって、例示的な用語「下」は、上と下の両方の配向を含んでよい。デバイスは、他の方法で配向され(90度又は他の配向に回転され)てよく、本明細書で使用される空間的な相対記述語がそれに応じて解釈され得る。
[0092] 本明細書で説明される材料及び方法を説明する文脈において(殊に、以下の特許請求の範囲の文脈において)、用語「1つの(a)」及び「1つの(an)」並びに「その(the)」と、類似の指示物の使用は、本明細書でその逆が示されているか又は明らかに文脈から矛盾する場合を除いて、単数と複数の両方をカバーすると解釈される。本明細書での値の範囲の列挙は、本明細書で特に明記しない限り、範囲内に入る各個別の値を個別に参照する略記法として機能することを単に意図しており、各個別の値は、本明細書で個別に引用されているかのように明細書に組み込まれる。本明細書で説明される全ての方法は、本明細書でその逆が示されているか又はさもなければ文脈から明らかに矛盾しない限り、任意の適切な順序で実行されてよい。本明細書で提供されている任意の及び全ての実施例又は例示的な言葉(例えば、「などの」)の使用は、単に材料及び方法をより良く説明することを意図したものであり、特に請求されない限り、範囲を限定しない。明細書中の言葉は、開示された材料及び方法の実施に不可欠であると主張されていない要素を示すと解釈されるべきではない。
[0093] この明細書全体を通じて、「一実施形態(one embodiment)」、「特定の実施形態(certain embodiments)」、「1以上の実施形態(one or more embodiments)」、又は「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定のフィーチャ、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。故に、この明細書全体の様々な箇所での「1以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。1以上の実施形態では、特定のフィーチャ、構造、材料、又は特質が、任意の適切なやり方で組み合わされ得る。
[0094] 本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。したがって、本発明は、添付の特許請求の範囲及びその均等物の範囲内にある修正及び変形を含むことが意図されている。

Claims (20)

  1. 膜を形成する方法であって、
    堆積サイクルを実行することを含み、前記堆積サイクルは、
    処理チャンバの中に炭化水素源を導入すること、
    前記処理チャンバの中にプラズマ開始ガスを導入すること、
    前記処理チャンバ内で600℃を超える温度でプラズマを生成すること、
    200nm/hrを超える堆積速度で、基板上にアモルファスカーボン層を形成すること、及び
    前記処理チャンバをパージすることを含む、方法。
  2. 前記炭化水素源は、1:2を超える炭素原子の水素原子に対する比を有する、請求項1に記載の方法。
  3. 前記プラズマ開始ガスは、水素、ヘリウム、アルゴン、及び窒素のうちの1以上から選択される、請求項1に記載の方法。
  4. 前記堆積サイクルを2回から50回繰り返すことを更に含む、請求項1に記載の方法。
  5. 前記アモルファスカーボン層は、間隙充填層である、請求項1に記載の方法。
  6. 前記アモルファスカーボン層は、共形な層である、請求項1に記載の方法。
  7. 前記炭化水素源は、アセチレン、ビニルアセチレン、ベンゼン、スチレン、トルエン、キシレン、ピリジン、アセトフェノン、フェノール、フラン、C3H2、C5H4、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、及びヘキサフルオロベンゼンのうちの1以上を含む、請求項2に記載の方法。
  8. 前記処理チャンバをパージすることは、前記処理チャンバの中にパージガスを流すことを含む、請求項1に記載の方法。
  9. 前記パージガスは、不活性ガス又は炭化水素源ガスを含む、請求項8に記載の方法。
  10. 処理方法であって、
    基板表面上にアモルファスカーボン膜を形成することを含み、前記基板表面は少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャは、前記基板表面から下面へフィーチャ深さだけ延在し、前記少なくとも1つのフィーチャは、第1の側壁及び第2の側壁によって画定される幅を有し、前記アモルファスカーボン膜は、前記基板表面、前記少なくとも1つのフィーチャの前記第1の側壁、前記第2の側壁、及び前記下面上に堆積される、方法。
  11. 前記アモルファスカーボン膜を形成することは、プラズマ化学気相堆積プロセスを含む、請求項10に記載の方法。
  12. 前記プラズマ化学気相堆積プロセスは、600℃を超える温度及び200nm/hrを超える堆積速度で行われる、請求項11に記載の方法。
  13. 前記プラズマ化学気相堆積プロセスは、
    処理チャンバの中に炭化水素源を導入すること、
    前記処理チャンバの中にプラズマ開始ガスを導入すること、及び
    前記処理チャンバ内で600℃を超える温度でプラズマを生成することを含む、請求項11に記載の方法。
  14. 前記炭化水素源は、アセチレン、ビニルアセチレン、ベンゼン、スチレン、トルエン、キシレン、ピリジン、アセトフェノン、フェノール、フラン、C3H2、C5H4、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、及びヘキサフルオロベンゼンのうちの1以上を含む、請求項13に記載の方法。
  15. 前記プラズマ開始ガスは、水素、ヘリウム、アルゴン、及び窒素のうちの1以上から選択される、請求項13に記載の方法。
  16. 前記フィーチャは、約10:1以上のアスペクト比を有する、請求項10に記載の方法。
  17. 前記少なくとも1つのフィーチャの前記深さは、約50nmから約100nmの範囲である、請求項10に記載の方法。
  18. 前記基板は、酸化物材料と窒化物材料との複数の交互層のスタックを含む、請求項10に記載の方法。
  19. 前記少なくとも1つのフィーチャは、メモリ孔又はワードラインスリットを含む、請求項10に記載の方法。
  20. 指示命令を含む非一時的なコンピュータ可読媒体であって、前記指示命令は、処理チャンバのコントローラによって実行されると、前記処理チャンバに、
    堆積サイクルを実行する動作を実行させ、前記堆積サイクルは、
    前記処理チャンバの中に炭化水素源を導入すること、
    前記処理チャンバの中にプラズマ開始ガスを導入すること、
    前記処理チャンバ内で600℃を超える温度でプラズマを生成すること、
    基板上にアモルファスカーボン層を形成すること、及び
    前記処理チャンバをパージすることを含む、非一時的なコンピュータ可読媒体。
JP2023515314A 2020-09-08 2021-08-30 間隙充填用アモルファスカーボン Pending JP2023541836A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/014,039 2020-09-08
US17/014,039 US20220076945A1 (en) 2020-09-08 2020-09-08 Amorphous carbon for gap fill
PCT/US2021/048137 WO2022055732A1 (en) 2020-09-08 2021-08-30 Amorphous carbon for gap fill

Publications (1)

Publication Number Publication Date
JP2023541836A true JP2023541836A (ja) 2023-10-04

Family

ID=80469277

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023515314A Pending JP2023541836A (ja) 2020-09-08 2021-08-30 間隙充填用アモルファスカーボン

Country Status (6)

Country Link
US (1) US20220076945A1 (ja)
JP (1) JP2023541836A (ja)
KR (1) KR20230098788A (ja)
CN (1) CN116457495A (ja)
TW (1) TW202225442A (ja)
WO (1) WO2022055732A1 (ja)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6548173B2 (en) * 1998-04-20 2003-04-15 Argonne National Laboratory Method of produce ultra-low friction carbon films
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US7906817B1 (en) * 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
KR20110050422A (ko) * 2008-07-08 2011-05-13 쌘디스크 3디 엘엘씨 탄소계 저항률 스위칭 물질과 이를 형성하는 방법
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP2022519321A (ja) * 2019-02-11 2022-03-22 アプライド マテリアルズ インコーポレイテッド パルス状にしたrfプラズマを介した膜形成
KR20210010817A (ko) * 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법

Also Published As

Publication number Publication date
KR20230098788A (ko) 2023-07-04
CN116457495A (zh) 2023-07-18
WO2022055732A1 (en) 2022-03-17
TW202225442A (zh) 2022-07-01
US20220076945A1 (en) 2022-03-10

Similar Documents

Publication Publication Date Title
US20210020432A1 (en) Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) Method for reforming amorphous carbon polymer film
KR102501364B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
US20210343579A1 (en) Method to create air gaps
US10622375B2 (en) Method of processing a substrate and a device manufactured by using the method
KR102447088B1 (ko) 반도체 디바이스 제작에서의 주석 옥사이드 막들
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US6991959B2 (en) Method of manufacturing silicon carbide film
US11961746B2 (en) Substrate processing method and substrate processing apparatus
KR102668418B1 (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
TW201611096A (zh) 利用共形碳薄膜減低臨界尺寸之方法
KR20220118521A (ko) 조정 가능한 탄소 함량을 갖는 실리콘 탄질화물 갭충전
TWI751326B (zh) 自對準通孔處理流程
JP2023541836A (ja) 間隙充填用アモルファスカーボン
CN115485819A (zh) 用于选择性金属化合物移除的系统及方法
US11978625B2 (en) Methods of forming metal nitride films
KR102678853B1 (ko) 피처리체를 처리하는 방법
KR20240090473A (ko) 금속 질화물 막들을 형성하는 방법들
KR20190015132A (ko) 피처리체를 처리하는 방법