CN116457495A - 用于间隙填充的非晶碳 - Google Patents

用于间隙填充的非晶碳 Download PDF

Info

Publication number
CN116457495A
CN116457495A CN202180069575.7A CN202180069575A CN116457495A CN 116457495 A CN116457495 A CN 116457495A CN 202180069575 A CN202180069575 A CN 202180069575A CN 116457495 A CN116457495 A CN 116457495A
Authority
CN
China
Prior art keywords
plasma
process chamber
amorphous carbon
feature
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180069575.7A
Other languages
English (en)
Inventor
闵笑全
K·D·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116457495A publication Critical patent/CN116457495A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述了用于在基板上沉积非晶碳层和用于用非晶碳间隙填充来填充基板特征的方法。所述方法包括执行沉积循环,所述沉积循环包括以下步骤:将烃源引入处理腔室;将等离子体引发气体引入处理腔室;在处理腔室中在大于600℃的温度下产生等离子体;以大于200nm/小时的沉积速率在基板上形成非晶碳层;以及净化处理腔室。

Description

用于间隙填充的非晶碳
技术领域
本公开的实施例总体涉及集成电路的制造,并且更具体地涉及在半导体基板上沉积非晶碳层。
背景技术
集成电路已经发展成可以在单个芯片上包含数百万个晶体管、电容器和电阻器的复杂器件。芯片设计的发展不断要求更快的电路系统和更高的电路密度。对具有更大电路密度的更快电路的需求对用于制造此类集成电路的材料提出了相应的要求。特别地,随着集成电路部件的尺寸减小到亚微米尺寸,不仅需要使用低电阻率导电材料(诸如铜)来改进器件的电气性能,还需要使用低介电常数绝缘材料,通常称为低k绝缘材料。
生产具有低k介电材料且几乎没有或没有表面缺陷或特征变形的器件是有困难的。介电常数小于约3.0的低k介电材料通常为多孔的,并且在后续工艺步骤中容易被划伤或损坏,因此增加了在基板表面上形成缺陷的可能性。此类低k介电材料通常易碎,并且在诸如化学机械抛光(CMP)之类的常规抛光工艺下可能变形。限制或减少此类低k介电材料的表面缺陷和变形的一种解决方案为,在图案化和蚀刻之前在暴露的低k介电材料之上沉积硬模。硬模防止脆弱的低k介电材料的损坏和变形。此外,结合常规平版印刷技术,硬模层可用作蚀刻掩模,以防止在蚀刻期间移除低k介电材料。
非晶氢化碳,a-C:H或α-C:H,是可用作用于金属、非晶硅和介电材料(诸如二氧化硅或氮化硅材料等)的硬模的材料。非晶碳被认为是没有长程晶序的碳材料,并且非晶碳可能含有大量氢含量,例如大约10到45原子%的氢。已经观察到非晶碳具有化学惰性、光学透明性和良好的机械性能。尽管a-C:H膜可以经由各种技术沉积,但等离子体增强化学气相沉积(PECVD)由于成本效率和膜性质可调性而被广泛使用。
逻辑和存储器应用两者都需要碳间隙填充工艺。碳材料可以使用等离子体蚀刻去除,而不会影响底层材料。在多层3D NAND生产中,需要牺牲存储器孔塞工艺来保护底部层级存储器孔。此类工艺需要满足产量、干式蚀刻可去除性和高温(~850℃)稳定性的要求。因此,需要提供在高温下稳定的非晶碳材料的间隙填充工艺。
发明内容
一个或多个实施例涉及一种沉积膜的方法。在一个或多个实施例中,所述方法包括以下步骤:执行沉积循环,所述沉积循环包括以下步骤:将烃源引入处理腔室;将等离子体引发气体引入处理腔室;在大于600℃的温度下在处理腔室中产生等离子体;在基板上形成非晶碳层;以及净化处理腔室。
在一个或多个实施例中,一种处理方法包括以下步骤:在基板表面上形成非晶碳膜,基板表面上具有至少一个特征,所述至少一个特征从基板表面延伸特征深度至底表面,所述至少一个特征具有由第一侧壁和第二侧壁限定的宽度,其中非晶碳膜沉积在基板表面、所述至少一个特征的第一侧壁、第二侧壁和底表面上。
一个或多个实施例涉及一种非暂时性计算机可读介质,所述非暂时性计算机可读介质包括指令,所述指令在由处理腔室的控制器执行时使处理腔室执行以下操作:执行沉积循环,所述沉积循环包括以下步骤:将烃源引入处理腔室;将等离子体引发气体引入处理腔室;在处理腔室中在大于600℃的温度下产生等离子体;在基板上形成非晶碳层;以及净化处理腔室。
附图说明
为了能够详细理解本公开的上述特征的方式,可以通过参考实施例来获得上文简要概述的本公开的更具体的描述,所述实施例中的一些实施例在附图中示出。然而,应注意,附图仅示出本公开的典型实施例并且因此不应视为对本公开范围的限制,因为本公开可承认其他同等有效的实施方式。本文所述的实施例在附图中通过示例方式而不是通过限制方式来说明,在附图中,类似标记表示类似元件。
图1A示出了根据一个或多个实施例的基板的横截面视图;
图1B示出了根据一个或多个实施例的基板的横截面视图;
图1C示出了根据一个或多个实施例的基板的横截面视图;
图2A示出了根据一个或多个实施例的基板的横截面视图;
图2B示出了根据一个或多个实施例的基板的横截面视图;
图3A示出了根据一个或多个实施例的基板的横截面视图;
图3B示出了根据一个或多个实施例的基板的横截面视图;
图4示出了根据本公开的一个或多个实施例的群集工具;以及
图5示出了根据本公开的一个或多个实施例的处理方法的流程图。
具体实施方式
在描述本公开的若干示例性实施例之前,应理解,本公开不限于在以下具体描述中阐述的构造或工艺步骤的细节。本公开能够有其他实施例,并且能够以各种方式实践或进行。
本文中使用的术语“约”是指近似或接近,并且在数值或范围的上下文中是指数值的±15%或更小的变化。例如,相差±14%、±10%、±5%、±2%或±1%的值将满足约的定义。
如在本说明书和所附权利要求中所使用的,术语“基板”或“晶片”指工艺作用于其上的表面或表面的一部分。本领域技术人员还将理解,除非上下文另有明确指示,否则对基板的引用仅指基板的一部分。另外,对在基板上沉积的引用可意味着裸基板和具有在其上沉积或形成的一个或多个膜或特征的基板两者。
如本文使用的“基板”是指在制造工艺中在其上执行膜处理的任何基板或在基板上形成的材料表面。例如,取决于应用,可在其上执行处理的基板表面包括材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及任何其他材料,诸如金属、金属氮化物、金属合金,以及其他导电材料。基板包括但不限于半导体晶片。基板可暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了直接在基板本身的表面上的膜处理之外,在本公开中,所公开的膜处理步骤中的任一步也可在基板上形成的底层上执行,如下文更详细地公开的,并且术语“基板表面”旨在包括如上下文指出的此类底层。因此,例如,在膜/层或部分膜/层已经被沉积到基板表面上的情况下,新沉积的膜/层的暴露表面变成基板表面。
如本说明书和所附权利要求中使用的,术语“前驱物”、“反应物”、“反应气体”等等可互换使用以指可与基板表面反应的任何气态物质。
在一个或多个实施例中,等离子体增强化学气相沉积(PECVD)由于成本效率和膜性质的多功能性而被广泛用于沉积非晶碳膜。在PECVD工艺中,将载气中已夹带的烃源(诸如气相烃或液相烃蒸汽)引入PECVD腔室中。在一个或多个实施例中,等离子体由远程等离子体源(RPS)或电容耦合等离子体(CCP)或电感耦合等离子体(ICP)与如氩(Ar)、氦(He)、氨(NH3)、氮(N2)、氢(H2)或其混合物之类的环境气体产生。随后,在腔室中引发等离子体以产生激发的CH自由基。激发的CH自由基以化学方式结合到定位在腔室中的基板的表面,从而在所述表面上形成所需的非晶碳膜。
PECVD技术通过向基板表面附近的反应区施加电场来促进反应气体的激发和/或解离,从而产生反应物质的等离子体。等离子体中物质的反应性降低了发生化学反应所需的能量,实际上降低了此类PECVD工艺所需的温度。
本文参考PECVD工艺描述的实施例可以使用任何合适的薄膜沉积系统来执行。合适系统的示例包括系统,其可使用/>处理腔室、PRECISION/>系统、系统、/>GTTM系统、/>XP PRECISIONTM系统、SETM系统、/>系统、/>处理腔室和MesaTM处理腔室,所有这些都可从加利福尼亚州圣克拉拉市的应用材料公司商购。能够执行PECVD工艺的其他工具也可适用于受益于本文的实施例。此外,可以利用任何能够实现本文PECVD工艺的系统。本文描述的任何设备描述是说明性的,并且不应被解释或解读为限制本文描述的实施方式的范围。
使用非晶碳层的器件制造商要求满足两个关键要求:(1)底层材料干式蚀刻期间硬模的高选择性;以及(2)用于平版印刷对准精度的可见光谱中的高光学透明度。如本文所使用的,术语“干式蚀刻”大体指材料不通过浸入化学溶液而溶解的蚀刻工艺,并且包括诸如反应离子蚀刻、溅射蚀刻和气相蚀刻之类的方法。
硬模层通常用于窄接触和/或深接触蚀刻应用以及高深宽比(HAR)蚀刻应用,其中光刻胶的厚度可能不足以遮蔽底层。这尤其适用于关键尺寸缩小的情况。
V-NAND或3D-NAND结构用于闪存应用。V-NAND器件是垂直堆叠的NAND结构,具有成块布置的大量单元。如本文所使用的,术语“3D-NAND”指一类电子(固态)非易失性计算机存储存储器,其中存储器单元以多层堆叠。3D NAND存储器大体包括包含浮栅晶体管的多个存储器单元。典型地,3D NAND存储器单元包括围绕位线以三维布置的多个NAND存储器结构。
3D NAND技术中的关键步骤是狭缝蚀刻。随着每个技术节点中层级数的增加,为了控制狭缝蚀刻轮廓,硬模膜的厚度必须按比例增加,以承受高深宽比蚀刻轮廓。由于高硬度并且在狭缝蚀刻后易于剥离,可以使用非晶碳(aC:H)膜。在多层级3D NAND生产中,需要牺牲存储器孔塞工艺来保护底部层级存储器孔。此类工艺需要满足产量、干式蚀刻可去除性和高温(例如,850℃)稳定性的要求。
其他方法包括基于非晶硅的工艺或其他基于非晶碳的工艺。非晶硅材料需要湿法去除工艺,这会对氧化物/氮化物堆叠产生有害影响。其他非晶碳材料的沉积速率低,产量慢,并且在高温下不稳定。
在一个或多个实施例中,提供了用于在化学气相沉积系统中,特别是在等离子体增强化学气相沉积(PECVD)系统中沉积具有改进保形性的非晶碳(a-C:H)材料的方法。层的保形性通常通过沉积在特征侧壁上的层的平均厚度与基板场或上表面上相同沉积层的平均厚度的比率(可表示为百分比)来量化。观察到通过本文所述的方法沉积的层具有大于约30%、诸如70%或更大、约7:10或更大、诸如约80%或更大、约4:5或更大、到约100%、约1:1、或到约200%或更大、约2:1的保形性。
一个或多个实施例的非晶碳材料可经由氧(O2)等离子体容易地去除,从而消除对氧化物/氮化物堆叠的影响。一个或多个实施例的工艺有利地提供比其他方法大十倍以上的沉积速率。此外,与其他非晶碳材料相比,一个或多个实施例的工艺有利地提供对沉积轮廓的可调谐性,同时还具有高温稳定性。
特别地,提供了用于改进a-C:H层的保形沉积的方法。保形沉积可通过以下方式来改进:利用碳氢比为1:2或更大、诸如碳氢比为2:3或更大的前驱物,使用选自氦、氢、氮、氩或其组合的群组的等离子体引发气体,在增加的前驱物至等离子体引发气体的流速下,在增加的沉积压力下,在增加的沉积温度下,在较低RF功率应用下,使用在具有减小的沉积速率的等离子体环境下,通过在多层中沉积非晶碳,以及上述各项的组合。本文中的沉积工艺可在合适的处理系统中执行。
出于描述目的,描述了用于间隙填充应用的非晶碳膜的沉积。然而,本领域技术人员将理解,所描述的前驱物和方法不限于间隙填充应用,并且可用于任何PECVD膜形成。图1A示出了具有特征110的基板100的部分横截面视图。为说明目的,附图示出具有单个特征的基板;然而,本领域技术人员将理解可存在多于一个特征。特征110的形状可以是任何合适的形状,包括但不限于沟槽和圆柱形通孔。在此方面使用的术语“特征”意味着任何故意的表面不规则性。特征的合适示例包括但不限于具有顶部、两个侧壁和底部的沟槽、具有顶部和两个侧壁的峰。特征可具有任何合适的深宽比(特征的深度与特征的宽度的比率)。在一些实施例中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、或50:1。
在一个或多个实施例中,基板100具有基板表面120。至少一个特征110在基板表面120中形成开口。特征110从基板表面120延伸达深度D至底表面112。特征110具有限定特征110的宽度W的第一侧壁114和第二侧壁116。由侧壁和底部形成的开口区域也被称为间隙。
本公开的一个或多个实施例涉及其中提供在基板表面上具有至少一个特征的基板表面的处理方法。在此方面使用的术语“提供”意味将基板置于用于进一步处理的位置或环境中。
图1B示出了具有特征110的基板100的部分横截面视图。如图1B所示,在基板表面120和至少一个特征110的第一侧壁114、第二侧壁116和底表面112上形成非晶碳膜150。非晶碳膜150填充至少一个特征110,使得基本上不形成接缝。接缝是在特征110的侧壁之间、但不一定在中间的特征中形成的间隙。在此方面使用的术语“基本上没有接缝”意味着在侧壁之间的膜中形成的任何间隙小于侧壁横截面积的约1%。
非晶碳膜150可以通过任何合适的工艺形成。在一些实施例中,通过等离子体增强化学气相沉积(PECVD)形成非晶碳膜150。换言之,可以通过等离子体增强化学气相沉积工艺沉积非晶碳膜150。
图1C示出了具有特征110的基板100的部分横截面视图,其中非晶碳膜150已经被平面化,使得非晶碳膜150基本上与基板表面120共面。平面化可通过本领域技术人员已知的任何方法进行,包括但不限于化学机械抛光(CMP)、蚀刻等。
本发明的各方面提供改进的非晶碳层的保形沉积。改进的保形沉积可通过利用碳氢比为1:2或更大、诸如碳氢比为2:3或更大的前驱物的工艺来实现,可使用从氦、氢、氮、氩或其组合的群组中选择的等离子体引发气体实现,可在增加的前驱物至等离子体引发气体流速下实现,可在增加的沉积压力下实现,可在增加的沉积温度下实现,可在较低RF功率应用下实现,可使用具有降低的沉积速率的等离子体环境实现,可用增加的气体分配器与基板表面之间的间距来实现,可通过在多层中沉积非晶碳实现,以及上述各项的组合。据信,本文所述的工艺提供了增加的沉积速率和更好的热稳定性,从而提供了更保形的沉积工艺。
在沉积工艺的一个或多个实施例中,通过包括将烃源和等离子体引发气体引入处理腔室(诸如结合图4描述的处理腔室300)的工艺形成非晶碳层。烃源是一种或多种烃化合物和可选的载气(诸如氩气)的混合物。
一种或多种烃化合物可包含碳原子与氢原子的比率为1:2或更大(例如大于1:2)的化合物。例如,观察到碳与氢(或氢的取代基,诸如氟)的比率为2:3或更大,诸如从2:3至2:1,例如从约2:3至约3:2,以产生具有改进的保形性的非晶碳膜。据信,具有所述碳氢原子比率的此类烃化合物导致更具各向同性的沉积工艺。
烃化合物可以是也可受益于本发明方法的部分或完全掺杂的烃化合物衍生物。衍生物包括烃化合物的含氮、含氟、含氧、含羟基和含硼衍生物。
大体上,可被包括在烃源中的烃化合物或其衍生物可由式CAHBFC描述,其中A的范围在1与24之间,B的范围在0与50之间,C的范围在0与50之间,并且A与B+C的比率为1:2或更大,例如大于1:2。例如,A与B+C的比率可以是2:3或更大,诸如从2:3到2:1,并且在进一步的示例中,从2:3到3:2。在一个或多个实施例中,其中C=0,烃源可具有式CxHy,其中2/3=<x/y=<3/2,其中x/y为相应的原子数。替代地,对于氧和/或氮取代化合物,烃源可由式CANBOCFDNE描述,其中A的范围在1与24之间,B的范围在0与50之间,C的范围在0与10之间,D的范围在0与50之间,E的范围在0与10之间,并且A与B+C+D+E的比率为1:2或更大,例如大于1:2。例如,A比B+C+D+E可以是2:3或更大,诸如从2:3到2:1,并且在进一步的示例中,从2:3到3:2。
合适的烃化合物包括以下化合物中的一种或多种化合物,例如,炔烃,诸如乙炔(CH)、乙烯基乙炔及其衍生物,芳香烃,诸如苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃等,α-萜烯、异丙苯、1.1.3.3.-四甲基丁基苯、叔丁基醚、叔丁基乙烯、甲基丙烯酸甲酯和叔丁基糠醚,具有式C3H2和C5H4的化合物,卤化芳香化合物,包括单氟苯、二氟苯、四氟苯、六氟苯等。另外合适的烃化合物包括烯烃,诸如乙烯、丙烯、丁烯、戊烯等,二烯,诸如丁二烯、异戊二烯、戊二烯、己二烯等,以及卤代烯烃包括单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、一氯乙烯、二氯乙烯,三氯乙烯、四氯乙烯等。碳原子与氢原子的比率大于1:2的前驱物的一个示例为C4H2,其可以是丁二炔。
此外,在一个或多个实施例中,前驱物的碳原子与氢原子比率为3:1或更大,诸如5:1,例如,10:1或更大。据信,随着碳氢比的增加,碳原子将在沉积期间与相邻的碳原子键结,通过形成复杂的三维短序结构网,从而使沉积膜的保形性更好。
非晶碳沉积工艺包括使用等离子体引发气体,所述等离子体引发气体在烃化合物之前和/或与烃化合物同时被引入腔室,并且引发等离子体以开始沉积。等离子体引发气体可以是高电离电位气体,包括且不限于氦气、氢气、氮气、氩气及其组合。等离子体引发气体也可以是化学惰性气体。诸如氦气、氮气或氩气。气体的合适电离电位约为5eV(电子电位)至25eV。等离子体引发气体可在烃源之前引入腔室,这允许形成稳定的等离子体,并减少电弧发生的机会。已观察到使用具有高电离电位的等离子体引发气体可在沉积期间提供较少各向异性的膜蚀刻,从而改进非晶碳膜沉积中的保形性。作为稀释气体或载气的惰性气体(诸如氩气)可与等离子体引发气体、烃源或其组合一起引入。
烃化合物和等离子体引发气体可以以从约1:100或更大的烃化合物与等离子体引发气体的流量比引入,例如,从约1:100到100:1的流量比,例如对于非晶碳沉积的从约1:10到约10:1的流量比。在一个实施例中,烃化合物与等离子体引发气体的流量比可以是约1:5或更大,诸如从约1:5至约2:1,例如从约1:2至约1:1,可用于非晶碳沉积。已观察到,与较低比率相比,增加的烃化合物与等离子体引发气体流量比提供改进的保形性。
可通过保持约2托或更大的腔室压力来从处理气体沉积非晶碳层,所述腔室压力是诸如从约2托到约20托,以及在一个实施例中,是约7托或更大,例如,从约7托到约9托。已经观察到,保形性随着压力的增加而增加,并且据信,离子在到达基板之前会经历更多散射,因此,失去了一些蚀刻能力,并且自由基经历了更多的散射且以更随机和各向同性的角度到达基板表面,以用于更具各向同性和保形的膜生长。
一个或多个实施例的非晶碳层有利地在保持基板温度高于600℃的腔室中从烃源沉积,所述基板温度包括从约600℃到约800℃的温度范围,诸如约600℃、约650℃、约700℃、约750℃和约800℃的温度。在不受理论约束的情况下,认为高温下的沉积会产生具有提高的热稳定性的非晶碳间隙填充膜。令人惊讶的是,观察到在升高的温度下沉积非晶碳膜会提高沉积速率并改进保形性。此外,随着温度的升高,所吸附的碳前驱物的扩散率或移动性增加,导致更具各向同性的沉积和更好的保形性。
在一个或多个实施例中,非晶碳层的沉积速率大于200nm/小时,包括大于300nm/小时和大于350nm/小时。
在一个或多个实施例中,将烃源和等离子体引发气体引入腔室中,并且等离子体被引发以开始沉积。双频RF系统可用于产生等离子体。据信,双频RF功率应用提供对通量和离子能量的独立控制,因为据信,离子撞击膜表面的能量会影响膜密度。据信,高频等离子体控制等离子体密度,而低频等离子体控制离子撞击基板表面的动能。混合RF功率的双频源提供约10MHz至约30MHz范围内、例如约13.56MHz的高频功率,以及在约10KHZ至约1MHz范围内、例如约350KHZ的低频功率。当使用双频RF系统沉积非晶碳膜时,第二RF功率与总混合频率功率的比率较佳地小于约0.6到1.0(0.6:1)。施加的RF功率和一个或多个频率的使用可基于基板大小和所用设备而变化。可以使用单频率RF功率应用,并且通常为如本文描述的高频功率的应用。
可通过以约0.01W/cm至约5W/cm、诸如约0.01至约1W/cm、例如约0.1W/cm的功率密度向基板表面区域施加RF功率来产生等离子体。功率施加可以是从约1瓦特至约2000瓦特,诸如从约10瓦特至约200瓦特,例如对于300mm基板的约20瓦特。
在不受理论约束的情况下,人们认为等离子体工艺通过减少高能离子的数量、使烃化合物(即自由基)以更随机的沉积图案到达基板表面来降低非晶碳的增加速率,以改进保形性,从而以更具各向同性的沉积图案提供所得膜生长。还观察到等离子体沉积的增加会提供更高的沉积速率,允许吸附的碳前驱物在基板表面扩散,并提供更保形的层。
用于处理300mm圆形基板的示例性沉积工艺采用等离子体引发气体(诸如氦)和烃源(诸如乙炔(C2H2))。所述工艺可包括以从约400sccm至约8000sccm的流速供应等离子体引发气体(诸如氦),以从约400sccm至8000sccm的流速供应烃源(诸如乙炔(C2H2)),施加从约10W至约2000W的双频RF功率,将腔室压力保持在从约2托至约20托,并将腔室温度保持在600℃以上。此工艺范围为非晶层提供约200nm/小时至约1000nm/小时范围内的沉积速率,其中保形性(沉积在特征侧壁上的层的平均厚度与基板的场或上表面上相同沉积层的平均厚度的比率)为从约30%到约100%。
在沉积工艺的一个或多个实施例中,执行多个单独的非晶碳沉积以形成非晶碳层。在多个沉积工艺的一个方面中,沉积步骤(诸如本文描述的沉积步骤)之后是蚀刻步骤,在蚀刻步骤中等离子体引发气体、稀释气体和/或前驱物可以以降低的速率或无沉积速率流动。合适的等离子体引发气体、稀释气体和/或前驱物可以以从约100sccm至约40000sccm的流速流入腔室。如果使用等离子体引发气体和/或稀释气体,则可为蚀刻步骤引发等离子体。在一个或多个实施例中,蚀刻等离子体用于修整碳层形态。修整后,保形性得到改进。在一个或多个实施例中,蚀刻气体或蚀刻剂包括氢(H2)、氨(NH3)、氧化亚氮(N2O)、氧(O2)等等中的一种或多种。随后可重复沉积和蚀刻步骤,直到获得所需厚度为止,并且可以是从1至100个循环,诸如从10至50个循环,例如30个循环,或替换地沉积在非晶碳材料厚度的约1%至约100%之间,诸如从约2%至约10%的循环,例如约3.3%。单个循环可在每个循环中沉积厚度为从约至约/>的非晶碳材料,以形成厚度为从约/>至约/>的非晶碳层。循环沉积工艺可使用上述工艺参数调整中的一个或多个。
在一个或多个实施例中,多层沉积方案改进了保形性。一般而言,当给定非晶碳膜厚度的层数增加(更小的单个层厚度和更多的重复)时,保形性得到改进。
如图2A至图2B所示,本发明方法的一个主要优点是与其他非晶碳沉积工艺相比提高了保形性。图2A示出了具有特征210的基板200的部分横截面视图。在一个或多个实施例中,基板200具有基板表面220。至少一个特征210在基板表面220中形成开口。特征210从基板表面220延伸达深度Df至底表面212。特征210具有第一侧壁214和第二侧壁216,第一侧壁214和第二侧壁216限定特征210的宽度Wf。由侧壁和底部形成的开口区域也被称为间隙。
图2B示出了具有特征210和在特征210上形成的非晶碳层250的基板200的示意性横截面视图。非晶碳层250示出了使用本发明方法沉积的膜的典型外观。定性地,非晶碳层250是高度保形的并且完全覆盖特征210的侧壁214、216和底表面212。定量地,非晶碳层250可具有从约30%到约100%的保形性。例如,从约70%到约90%,其中保形性定义为沉积在侧壁214、216上的非晶碳层250的平均厚度S与基板200上表面220上非晶碳层250的平均厚度T的比率。
图3A示出了具有特征270的基板260的部分横截面视图。基板260具有基板表面280。至少一个特征270在基板表面280中形成开口。至少一个特征270从基板表面280延伸达特征深度Df至底表面272。至少一个特征270具有限定至少一个特征270的宽度Wf的第一侧壁274和第二侧壁276。由侧壁274、276和底部272形成的开口区域也被称为间隙。在一个或多个实施例中,宽度Wf沿至少一个特征270的深度D1为均匀的。在其他实施例中,至少一个特征270的顶部处的宽度Wf大于至少一个特征270的底表面272处的宽度Wf。在又进一步实施例中,在至少一个特征270的中间的宽度Wf大于至少一个特征270的顶部处的宽度Wf,并且大于至少一个特征270的底表面272处的宽度Wf
在一个或多个实施例中,基板260是包括沉积在半导体基板260上的氮化物材料264和氧化物材料266的多个交替层的膜堆叠。
半导体基板262可以是任何合适的基板材料。在一个或多个实施例中,半导体基板2622包括半导体材料,例如硅(Si)、碳(C)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、磷酸铟(InP)、砷化铟镓(InGaAs)、铟铝砷化物(InAlAs)、锗(Ge)、硅锗(SiGe)、铜铟硒化镓(CIGS)、其他半导体材料或其任何组合。在一个或多个实施例中,半导体基板262包含硅(Si)、锗(Ge)、镓(Ga)、砷(As)、铟(In)、磷(P)、铜(Cu)、或硒(Se)中的一个或多个。尽管本文描述了可以形成基板262的材料的几个示例,但是可以用作可在其上构造无源和有源电子器件(例如,晶体管、存储器、电容器、电感器、电阻器、开关、集成电路、放大器、光电子器件或任何其他电子器件)的底座的任何材料落在本公开的精神和范围内。
在一个或多个实施例中,至少一个特征270包括存储器孔或字线狭缝。因此,在一个或多个实施例中,基板260包括存储器器件或逻辑器件,例如NAND、VNAND、DRAM等。
图3B示出了根据本公开的一个或多个实施例的间隙填充工艺的横截面示意图。在一个或多个实施例中,在基板表面280以及至少一个特征270的壁274、27和底部272上形成非晶碳膜290。如图3B所示,在一个或多个实施例中,非晶碳膜290在至少一个特征270的宽度Wf内无接缝。
在一些实施例中,非晶碳膜290在至少一个特征270上保形地形成。如本文所使用的,术语“保形”或“保形地”是指粘合至并均匀地覆盖暴露表面的层,所述层的厚度相对于膜平均厚度的变化小于1%。例如,厚的膜的厚度变化小于/>此厚度和变化包括边缘、角落、侧面和凹槽底部。例如,在本公开的各种实施例中,通过PECVD沉积的保形层将在复杂表面上的沉积区域之上提供基本均匀厚度的覆盖。
在一些实施例中,非晶碳膜290是连续膜。如本文所使用的,术语“连续”是指覆盖整个暴露表面的层,所述层没有显露沉积层下方的材料的间隙或裸点。连续层可具有表面积小于膜总表面积的约1%的间隙或裸点。在一些实施例中,非晶碳膜290是无针孔膜。如本文所使用的,术语“无针孔”是指覆盖整个暴露表面的层,所述层没有显露沉积层下面的材料的间隙、裸点、孔、针孔等。无针孔层可以具有表面积小于膜总表面积约1%的孔或针孔。
参考图5,本公开的一个或多个实施例涉及沉积膜的方法500。在一些实施例中,方法500包括预处理操作506。预处理可以是本领域技术人员已知的任何合适的预处理。合适的预处理包括但不限于预热、清洁、浸泡、去除自然氧化物或沉积粘合层(例如氮化钛(TiN))。
在沉积510处,执行工艺循环以在基板(或基板表面)上沉积膜。沉积工艺可包括在基板上形成膜的一个或多个操作。在操作512中,将烃源引入处理腔室。在操作514处,将等离子体引发气体引入处理腔室。在操作516处,在大于600℃的温度下在处理腔室中产生等离子体。
在操作518处,在基板上形成非晶碳层。在操作520处,净化处理腔室。
在判定点522处,考虑沉积膜的厚度或循环次数。如果沉积膜已经达到预定厚度或者已经执行了预定次数的工艺循环,则方法500移动到可选的后处理操作524。如果沉积膜的厚度或工艺循环的次数尚未达到预定阈值,则方法500返回到操作510以在操作512中再次引入烃源,并继续。
可选的后处理操作524可以是例如改质膜性质的工艺(例如,退火)或进一步膜沉积工艺(例如,额外的ALD或CVD工艺)以生长额外的膜。在一些实施例中,后处理操作524可以是改质沉积膜的性质的工艺。在一些实施例中,后处理操作524包括对膜进行退火。在一些实施例中,退火是在约300℃、400℃、500℃、600℃、700℃、800℃、900℃或1000℃范围内的温度下进行。一些实施例的退火环境包括惰性气体(例如,分子氮(N2)、氩(Ar))或还原气体(例如,分子氢(H2)或氨(NH3))或氧化剂(诸如但不限于氧(O2)、臭氧(O3)或过氧化物)中的一者或多者。退火可以进行任何合适的时间长度。在一些实施例中,将膜退火达在约15秒到约90分钟的范围内或在约1分钟到约60分钟的范围内的预定时间。在一些实施例中,退火沉积态膜会增加密度、降低电阻率和/或增加膜的纯度。
可以使用多种多处理平台,包括可从获得的/>双ACP、GT、/>和/>平台,以及其他处理系统。参考图4,群集工具300包括至少一个具有多个侧面的中央转移站314。机器人316定位在中央转移站314内,并配置成将机械叶片和晶片移动到多个侧面中的每一者。
大体上,群集工具是包括多个腔室的模块化系统,所述多个腔室执行各种功能,包括基板中心查找和定向、脱气、退火、沉积和/或蚀刻。根据一个或多个实施例,群集工具至少包括第一腔室和中央转移腔室。中央转移腔室可以容纳机器人,所述机器人可以在处理腔室与装载锁定腔室之间运送基板。转移腔室通常保持在真空状态,并为将基板从一个腔室运送到另一个腔室和/或运送到定位在群集工具前端的装载锁定腔室提供中间平台。然而,为了执行如本文讨论的工艺的特定步骤,可以改变腔室的具体布置和组合。可使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、诸如RTP之类的热处理、等离子体氮化、脱气、定向、羟基化和其他基板工艺。通过在群集工具上的腔室中执行工艺,在沉积后续膜之前无需氧化即可避免基板表面被大气杂质污染。
参考图4,群集工具300包括连接到中央转移站的多个处理腔室308、310和312,也称为工艺站。各种处理腔室提供与相邻工艺站隔离的单独处理区域。处理腔室可以是任何合适的腔室,包括但不限于预清洁腔室、沉积腔室、退火腔室、蚀刻腔室、选择性蚀刻腔室等。处理腔室和部件的特殊布置可取决于群集工具而变化,并且不应视为限制本公开的范围。
在一些实施例中,群集工具300包括用于选择性蚀刻/修整半导体材料层224的各向同性蚀刻腔室。一些实施例的各向同性蚀刻腔室包括一个或多个氟基干式蚀刻腔室。在一些实施例中,群集工具300包括连接到中央转移站的预清洁腔室。
在图4所示的实施例中,工厂接口318连接到群集工具300的前部。工厂接口318包括用于在工厂接口318的前部319上进行装载和卸除的腔室302。
装载腔室和卸除腔室302的大小和形状可以取决于例如在群集工具300中处理的基板而变化。在所示的实施例中,装载腔室和卸除腔室302尺寸设计成固持晶片盒,其中多个晶片定位在盒内。
机器人304位于工厂接口318内,并且可在装载腔室和卸除腔室302之间移动。机器人304能够通过工厂接口318将晶片从装载腔室302中的盒转移到装载锁定腔室320。机器人304还能够通过工厂接口318将晶片从装载锁定腔室320转移到卸除腔室302中的盒中。
一些实施例的机器人316是能够一次独立移动多个晶片的多臂机器人。机械人316配置成在传送腔室314周围的腔室之间移动晶片。个体晶片由位于第一机器人机构远端的晶片输送叶片承载。
系统控制器357与机器人316以及多个处理腔室308、310和312通信。系统控制器357可以是可控制处理腔室和机器人的任何合适部件。例如,系统控制器357可以是包括中央处理单元(CPU)392、存储器394、输入/输出396、合适的电路398和存储装置的计算机。
工艺大体可以作为软件例程存储在系统控制器357的存储器中,所述软件例程在由处理器执行时使处理腔室执行本公开的工艺。软件例程还可由第二处理器(未示出)存储和/或执行,所述第二处理器位于由处理器控制的硬件的远程。本公开的方法中的部分或全部方法也可以在硬件中执行。因此,所述工艺可以在软件中实现并使用计算机系统、在硬件中(例如,专用集成电路或其他类型的硬件实现)或作为软件和硬件的组合来执行。当由处理器执行时,软件例程将通用计算机转换为专用计算机(控制器),所述专用计算机控制腔室操作,从而执行工艺。
在一些实施例中,系统控制器357具有控制非晶碳在基板上的沉积的配置。
在一个或多个实施例中,处理工具包括:中央转移站,所述中央转移站包括配置成移动晶片的机器人;多个工艺站,每个工艺站连接到中央转移站并提供与相邻工艺站的处理区域分离的处理区域,多个工艺站包括沉积腔室、等离子体腔室、固化腔室、蚀刻腔室;以及连接到中央转移站和多个工艺站的控制器,所述控制器配置成激活机器人以在工艺站之间移动晶片,并控制在工艺站中的每一者中发生的工艺。
现在参考以下示例描述本发明。在描述本公开的若干示例性实施例之前,应理解,本公开不限于在以下描述中阐述的构造或工艺步骤的细节。本公开能够具有其他实施例,并且能够以各种方式实践或进行。
示例
示例1
非晶碳层通过以下步骤来沉积:以约2000sccm的流速向处理腔室提供氩气,以约300sccm的流速向处理腔室提供C2H2,以约200W施加高频RF功率(13.56MHz),保持约650℃的沉积温度,保持约10托的腔室压力,以约300密耳的间隙以产生1800nm/小时的沉积速率,并且观察到实现约83%的致密区域到约96%的开放区域台阶覆盖率的保形性(保形性测量)。
示例2:比较
非晶碳层通过以下步骤来沉积:以约100sccm的流速向处理腔室提供氦,以约100sccm的流速向处理腔室提供C3H6,以约100sccm的流速向处理腔室提供NH3,以约600W施加高频RF功率(13.56MHz),保持约400℃的沉积温度,保持约9托的腔室压力,以约400密耳的间隙以产生30nm/小时的沉积速率,并且观察到实现约83%的致密区域到约96%的开放区域台阶覆盖率的保形性(保形性测量)。
本文可使用空间相对术语,诸如“之下”、“下方”、“下部”、“上方”、“上部”等以便于说明书描述附图中所示的一个元件或特征与另外一个或多个元件或特征的关系。应理解,除了附图中描绘的取向外,空间相对术语旨在包含器件在使用或操作中的不同取向。例如,如果附图中的器件被翻转,则被描述为在其他元件或特征“下方”或“之下”的元件将被定向为在其他元件或特征“上方”。因此,示例性术语“下方”可以包括上方取向和下方取向这两者。器件可以以其他方式定向(旋转90度或在处于其他定向)并且在本文中使用的空间相对的描述词可相应地解读。
在描述本文所讨论的材料和方法的上下文中(尤其是在所附权利要求书的上下文中)使用术语“一(a)”和“一个(an)”以及“所述”和类似称谓应被解释为包括单数和复数,除非本文中另有说明或与上下文明确矛盾。除非本文另有说明,否则本文中对数值范围的记载仅旨在用作单独引用落在所述范围内的每个单独数值的简写方法,并且每个单独数值并入本说明书中,如同其在本文中单独记载一样。除非本文另有说明或上下文另有明确矛盾,否则本文的所有方法均可按任何合适顺序执行。使用本文提供的任何和所有示例或示例性语言(例如,“诸如”)仅旨在更好地说明材料和方法,并且不构成对范围的限制,除非另有声明。说明书中的任何语言都不应被解释为表明任何未主张保护的元素对于所公开的材料和方法的实践至关重要。
贯穿本说明书对“一个实施例”、“某些实施例”、“一个或多个实施例”或“实施例”的引用意味着结合实施例描述的特定特征、结构、材料或特性被包括在本公开的至少一个实施例中。因此,贯穿本说明书的各个地方出现的诸如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在实施例中”之类的短语不一定指本公开的同一实施例。在一个或多个实施例中,以任何合适的方式组合特定特征、结构、材料或特性。
尽管已经参考特定实施例描述了本文的公开内容,但应理解,这些实施例仅为说明本公开的原理和应用。对于本领域技术人员而言显而易见的是,在不脱离本公开的精神和范围的情况下,可对本公开的方法和设备进行各种修改和变化。因此,本公开旨在覆盖落入所附权利要求书及其等同物的范围内的修改和变化。

Claims (20)

1.一种形成膜的方法,所述方法包括以下步骤:
执行沉积循环,所述沉积循环包括以下步骤:
将烃源引入处理腔室;
将等离子体引发气体引入所述处理腔室;
在所述处理腔室中在大于600℃的温度下产生等离子体;
以大于200nm/小时的沉积速率在基板上形成非晶碳层;以及
净化所述处理腔室。
2.如权利要求1所述的方法,其中所述烃源具有大于1:2的碳氢原子比率。
3.如权利要求1所述的方法,其中所述等离子体引发气体选自氢、氦、氩和氮中的一种或多种。
4.如权利要求1所述的方法,进一步包含以下步骤:重复所述沉积循环从2至50次。
5.如权利要求1所述的方法,其中所述非晶碳层是间隙填充层。
6.如权利要求1所述的方法,其中所述非晶碳层是保形层。
7.如权利要求2所述的方法,其中所述烃源包含乙炔、乙烯基乙炔、苯、苯乙烯、甲苯、二甲苯、吡啶、苯乙酮、苯酚、呋喃、C3H2、C5H4、单氟苯、二氟苯、四氟苯和六氟苯中的一种或多种。
8.如权利要求1所述的方法,其中净化所述处理腔室的步骤包括以下步骤:将净化气体流入所述处理腔室。
9.如权利要求8所述的方法,其中所述净化气体包含惰性气体或烃源气体。
10.一种处理方法,包括以下步骤:
在基板表面上形成非晶碳膜,所述基板表面上具有至少一个特征,所述至少一个特征从所述基板表面延伸特征深度至底表面,所述至少一个特征具有由第一侧壁和第二侧壁限定的宽度,其中所述非晶碳膜沉积在所述基板表面、所述至少一个特征的所述第一侧壁、所述第二侧壁和所述底表面上。
11.如权利要求10所述的方法,其中形成所述非晶碳膜的步骤包含等离子体增强化学气相沉积工艺。
12.如权利要求11所述的方法,其中所述等离子体增强化学气相沉积工艺在大于600℃的温度和大于200nm/小时的沉积速率下进行。
13.如权利要求11所述的方法,其中所述等离子体增强化学气相沉积工艺包括以下步骤:
将烃源引入处理腔室;
将等离子体引发气体引入所述处理腔室;以及
在大于600℃的温度下在所述处理腔室中产生等离子体。
14.如权利要求13所述的方法,其中所述烃源包含乙炔、乙烯基乙炔、苯、苯乙烯、甲苯、二甲苯、吡啶、苯乙酮、苯酚、呋喃、C3H2、C5H4、单氟苯、二氟苯、四氟苯和六氟苯中的一种或多种。
15.如权利要求13所述的方法,其中所述等离子体引发气体选自氢、氦、氩和氮中的一种或多种。
16.如权利要求10所述的方法,其中所述特征具有大于或等于约10:1的深宽比。
17.如权利要求10所述的方法,其中所述至少一个特征的深度在约50nm至约100nm的范围内。
18.如权利要求10所述的方法,其中所述基板包括氧化物材料和氮化物材料的多个交替层的堆叠。
19.如权利要求10所述的方法,其中所述至少一个特征包括存储器孔或字线狭缝。
20.一种非暂时性计算机可读介质,所述非暂时性计算机可读介质包括指令,所述指令在由处理腔室的控制器执行时使所述处理腔室执行以下操作:
执行沉积循环,所述沉积循环包括以下步骤:
将烃源引入处理腔室;
将等离子体引发气体引入所述处理腔室;
在大于600℃的温度下在所述处理腔室中产生等离子体;
在基板上形成非晶碳层;以及
净化所述处理腔室。
CN202180069575.7A 2020-09-08 2021-08-30 用于间隙填充的非晶碳 Pending CN116457495A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/014,039 US20220076945A1 (en) 2020-09-08 2020-09-08 Amorphous carbon for gap fill
PCT/US2021/048137 WO2022055732A1 (en) 2020-09-08 2021-08-30 Amorphous carbon for gap fill

Publications (1)

Publication Number Publication Date
CN116457495A true CN116457495A (zh) 2023-07-18

Family

ID=80469277

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180069575.7A Pending CN116457495A (zh) 2020-09-08 2021-08-30 用于间隙填充的非晶碳

Country Status (6)

Country Link
US (1) US20220076945A1 (zh)
JP (1) JP2023541836A (zh)
KR (1) KR20230098788A (zh)
CN (1) CN116457495A (zh)
TW (1) TW202225442A (zh)
WO (1) WO2022055732A1 (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6548173B2 (en) * 1998-04-20 2003-04-15 Argonne National Laboratory Method of produce ultra-low friction carbon films
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US7906817B1 (en) * 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
CN102144309A (zh) * 2008-07-08 2011-08-03 桑迪士克3D有限责任公司 基于碳的电阻率-切换材料及其形成方法
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR20210116689A (ko) * 2019-02-11 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 펄싱된 rf 플라즈마를 통한 막 형성
KR20210010817A (ko) * 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법

Also Published As

Publication number Publication date
KR20230098788A (ko) 2023-07-04
US20220076945A1 (en) 2022-03-10
JP2023541836A (ja) 2023-10-04
TW202225442A (zh) 2022-07-01
WO2022055732A1 (en) 2022-03-17

Similar Documents

Publication Publication Date Title
KR102501364B1 (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
US20210020432A1 (en) Method of forming topology-controlled amorphous carbon polymer film
US11637037B2 (en) Method to create air gaps
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
US6991959B2 (en) Method of manufacturing silicon carbide film
CN111910175A (zh) 用于重整非晶碳聚合物膜的方法
US9595466B2 (en) Methods for etching via atomic layer deposition (ALD) cycles
US9633896B1 (en) Methods for formation of low-k aluminum-containing etch stop films
TW201532139A (zh) 使用遠端電漿源以凹陷超低k介電質
KR20090060768A (ko) 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
US20180151424A1 (en) Methods to fill high aspect ratio features on semiconductor substrates with mocvd cobalt film
KR20220118521A (ko) 조정 가능한 탄소 함량을 갖는 실리콘 탄질화물 갭충전
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
US20220076945A1 (en) Amorphous carbon for gap fill
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
TW202335080A (zh) 形成金屬氮化物膜之方法
TW202407133A (zh) 整合的清潔及選擇性鉬沉積製程
CN116348999A (zh) Hdp牺牲碳间隙填充
CN118099080A (zh) 创建气隙的方法
KR20080013269A (ko) 반도체 소자 제조를 위한 박막형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination