KR20210116689A - 펄싱된 rf 플라즈마를 통한 막 형성 - Google Patents

펄싱된 rf 플라즈마를 통한 막 형성 Download PDF

Info

Publication number
KR20210116689A
KR20210116689A KR1020217029150A KR20217029150A KR20210116689A KR 20210116689 A KR20210116689 A KR 20210116689A KR 1020217029150 A KR1020217029150 A KR 1020217029150A KR 20217029150 A KR20217029150 A KR 20217029150A KR 20210116689 A KR20210116689 A KR 20210116689A
Authority
KR
South Korea
Prior art keywords
film
process chamber
chamber
power source
plasma
Prior art date
Application number
KR1020217029150A
Other languages
English (en)
Inventor
크리슈나 니탈라
디와카르 엔. 케들라야
카르티크 자나키라만
이 양
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210116689A publication Critical patent/KR20210116689A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

비정질 및 미세결정질 막들을 형성하기 위해, 펄싱된 RF 플라즈마를 사용하는 시스템들 및 방법들이 본원에서 논의된다. 막들을 형성하는 방법들은 (a) 막 전구체로부터 플라즈마를 프로세스 챔버에 형성하는 단계; 및 (b) 막을 형성하기 위해, RF 전원에 의해 생성되는 펄스의 듀티 사이클의 듀티 사이클 온 시간(T)이 듀티 사이클의 총 사이클 시간(T)의 약 20% 미만이게 하도록 RF 전원을 펄싱하는 단계를 포함할 수 있다. 방법들은 (c) 프로세스 챔버의 기판 상에 제1 막 중간층을 퇴적시키는 단계; (d) (c)에 후속하여, 프로세스 챔버를 퍼징하는 단계; 및 (e) (d)에 후속하여, 수소 플라즈마를 프로세스 챔버에 도입하는 단계를 더 포함할 수 있다. 또한 방법에서, 막을 형성하기 위해 (b)-(e)가 반복된다. 막은 약 10% 미만의 막내 수소 함량을 가질 수 있다.

Description

펄싱된 RF 플라즈마를 통한 막 형성
본 개시내용의 구현들은 일반적으로, 기판들, 예컨대, 반도체 기판들 및 하드웨어 구성요소들 상의 박막들의 퇴적에 관한 것이다.
플라즈마 강화 화학 기상 퇴적(PECVD)은 반도체 디바이스 제조 동안 규소 및 수소화물 막들을 포함하는 막들을 형성하는 데 사용될 수 있다. 그러한 막들에서의 높은 수소(H) 함량은, 후속 막들이, PECVD로 형성된 막들의 최상부 상에 형성될 때 집적 문제들로 이어질 수 있다. 집적 문제들은, 막의 박피 및 기포발생, 및 막의 수소의 다른 층들로의 이동을 포함할 수 있다. 막의 수소 함량을 변화시키기 위해 박막들의 PECVD 퇴적 동안 퇴적 온도가 조정될 수 있지만, 높은 퇴적 온도들은 반도체 제조 작동의 열 예산을 초과할 수 있는 양의 에너지를 활용한다.
따라서, 관련 기술분야에서는 반도체 기판들 및 하드웨어 구성요소들 상에 박막들을 형성하는 개선된 방법이 필요하다.
RF 펄싱된 플라즈마를 사용하여 막들을 형성하기 위한 방법들 및 시스템들이 본원에서 논의된다. 일 양상에서, 막을 형성하는 방법은, 프로세스 챔버의 프로세스 용적에 플라즈마를 형성하기 위해 전구체를 이온화하는 단계 및 프로세스 챔버에 결합된 RF 전원을 펄싱하는 단계를 포함한다. RF 전력의 펄싱에 응답하여, RF 전원의 펄싱 동안 기판 상에 막을 퇴적시키고, 기판은 프로세스 용적 내부의 기판 지지부 상에 위치되고, 막은 약 10% 미만의 수소 함량을 포함한다.
구현들은 다음 중 하나 이상을 포함할 수 있다. 기판 지지부는 막의 퇴적 동안 350 ℃ 내지 450 ℃의 온도에 있을 수 있다. 전구체 가스를 도입하는 단계는 기판 지지부에 대향하는 가스 분배 조립체를 통해 전구체 가스를 도입하는 단계를 포함할 수 있고, 가스 분배 조립체는 막의 퇴적 동안 약 200 ℃ 내지 약 350 ℃의 온도에 있다. 막은 RF 전원의 펄싱 동안 1 Å/s 내지 8 Å/s의 퇴적 속도로 퇴적될 수 있다. RF 전원을 펄싱하는 단계는 약 20% 미만의 RF 전원의 듀티 사이클 온 시간(T)을 갖는 단계를 포함할 수 있다. 전구체 가스는 규소(Si) 또는 게르마늄(Ge)을 포함할 수 있다. 전구체 가스는 수소를 포함할 수 있다. 전구체 가스는 C2H6, C2H2, 또는 GeH4를 포함할 수 있다.
다른 양상에서, 막을 형성하는 방법은, 전구체를 프로세스 챔버의 프로세스 용적에 도입하는 단계 - 프로세스 챔버는 챔버 덮개, 챔버 바닥, 및 이들 사이에서 연장되는 측벽을 가짐 -, 및 플라즈마를 형성하기 위해 전구체 가스를 이온화하는 단계를 포함한다. RF 전원은 RF 전원에 의해 생성되는 펄스의 듀티 사이클의 듀티 사이클 온 시간(T)이 듀티 사이클의 총 사이클 시간(T)의 약 20% 미만이게 하도록 펄싱되고, 약 10% 미만의 수소 함량을 갖는 막이 퇴적된다.
구현들은 다음 중 하나 이상을 포함할 수 있다. RF 전원에 의해 생성되는 펄스의 듀티 사이클의 듀티 사이클 온 시간(T)은 듀티 사이클의 총 사이클 시간(T)의 약 10% 미만이다. 막은 약 5% 미만의 수소 함량을 포함할 수 있다. 막은 약 6% 미만의 두께 변동을 가질 수 있다. 막은 프로세스 챔버의 기판 지지부 상에 위치된 기판 상에 퇴적될 수 있다. 막은 프로세스 챔버의 챔버 덮개, 챔버 바닥, 및 측벽 중 적어도 하나 상에 퇴적될 수 있다. 막의 퇴적은 약 1 Å/분 내지 약 10 Å/분의 퇴적 속도로 일어날 수 있다.
다른 양상에서, 막을 형성하는 방법은 (a) 막 전구체로부터 플라즈마를 프로세스 챔버에 형성하는 단계; 및 (b) RF 전원에 의해 생성되는 펄스의 듀티 사이클의 듀티 사이클 온 시간(T)이 듀티 사이클의 총 사이클 시간(T)의 약 20% 미만이게 하도록 RF 전원을 펄싱하는 단계를 포함한다. 방법은 (c) 프로세스 챔버의 기판 상에 제1 막 중간층을 퇴적시키는 단계; (d) (c)에 후속하여, 프로세스 챔버를 퍼징하는 단계; 및 (e) (d)에 후속하여, 수소 플라즈마를 프로세스 챔버에 도입하는 단계를 더 포함한다. 또한 방법에서, 막을 형성하기 위해 (b)-(e)가 반복된다.
구현들은 다음 중 하나 이상을 포함할 수 있다. 기판은, 프로세스 챔버의 덮개 표면, 프로세스 챔버의 바닥 표면, 프로세스 챔버의 측벽, 또는 프로세스 챔버의 기판 지지부 상에 위치된 기판 중 적어도 하나를 포함할 수 있다. (c)에서의 막 퇴적 속도는 약 1 Å/분 내지 약 5 Å/분일 수 있다. 제1 막 중간층의 두께는 약 2 Å 내지 약 50 Å일 수 있다. 막의 두께는 약 100 Å 내지 약 500 Å일 수 있다.
다른 양상에서, 비일시적 컴퓨터 판독가능 매체는 매체에 저장된 명령어들을 갖고, 명령어들은 프로세서에 의해 실행될 때, 프로세스로 하여금 상기 장치 및/또는 방법의 작동들을 수행하게 한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 첨부 도면들은 단지 예시적인 구현들만을 예시하고 따라서 그의 범위를 제한하는 것으로 간주되어서는 안 되며, 다른 동등하게 효과적인 구현들을 허용할 수 있다는 점에 주목해야 한다.
도 1은 본 개시내용의 구현들에 따른 기판 처리 시스템의 개략도이다.
도 2는 본 개시내용의 구현들에 따른, 펄싱된 RF 플라즈마를 사용하여 막들을 형성하는 방법의 흐름도이다.
도 3은 본 개시내용의 구현들에 따른, 펄싱된 RF 플라즈마를 사용하여 막들을 형성하는 다른 방법의 흐름도이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 통합될 수 있다는 것이 고려된다.
다음의 개시내용은 일반적으로, 기판 처리 시스템들에 관한 것으로, 더 구체적으로, 펄싱된 RF 플라즈마를 사용하여 막들을 퇴적시키기 위한 장치 및 방법들에 관한 것이다. 본 개시내용의 다양한 구현들의 완전한 이해를 제공하기 위해, 특정 세부사항들이 이하의 설명 및 도 1-3에 열거된다. 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해, 광학 검출 및 기판 위치설정에 종종 연관되는 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은 이하의 개시내용에 열거되지 않는다.
도면들에 도시된 세부사항들, 치수들, 각도들 및 다른 특징들 중 다수는 단지 특정 구현들을 예시할 뿐이다. 이에 따라, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않고서 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 또한, 본 개시내용의 추가의 구현들은 아래에 설명되는 세부사항들 중 몇몇 없이 실시될 수 있다. 추가적으로, 본원에 설명된 장치 설명은 예시적이며, 본원에 설명된 구현들의 범위를 제한하는 것으로서 해석되거나 이해되어서는 안 된다.
반도체 디바이스들은 규소-, 질화물-, 및 수소화물-함유 박막들을 포함할 수 있다. 반도체 디바이스들이 제조되는 프로세스 챔버 구성요소들을 코팅하고 보호하기 위해 동일한 유형들의 박막들이 또한 사용될 수 있다. 프로세스 챔버들은 화학 기상 퇴적(CVD), 플라즈마 강화 CVD(PECVD), 원자 층 퇴적(ALD), 또는 물리 기상 퇴적(PVD)을 포함하는 작동들을 수행하도록 구성될 수 있다. 기판들 상의 또는 챔버 구성요소들 상의 박막 품질은 막내 불순물들, 예컨대, 높은 수소 함량, 예를 들어, 10% 이상의 막내 수소 함량에 의해 부정적인 영향을 받을 수 있다. 프로세스 챔버 구성요소들을 코팅하는 데 박막들이 사용되는 경우, 보호 코팅의 불량한 막 품질은, 보호 코팅이 박편화되어, 프로세스 챔버에 위치된 기판들을 오염시키는 것으로 이어질 수 있다. 다른 예에서, 박막들이 반도체 디바이스들을 위한 기판들 상에 형성될 때, 불량한 막 품질은 후속 작동들에서의 난제들, 스크랩, 또는 감소된 디바이스 성능을 초래할 수 있다. 높은 막내 수소 함량은 막 품질 및 전체 디바이스 품질에 부정적인 영향을 줄 수 있다. 예를 들어, 불량한 품질의 막 층 위에 후속하여 형성된 막 층들은 접착 또는 막내 수소 함유물의 이동을 포함하는 다른 품질 문제들을 경험할 수 있다. 다른 예들에서, 막이 위에 형성되는 아래놓인 층들은 또한, 막내 수소 함유물의 아래놓인 층들로의 이동을 포함하는 품질 문제들을 경험할 수 있다.
본원에 논의되는 시스템들 및 방법들을 사용하여, 기판 또는 챔버 구성요소 상의 막 형성 동안 플라즈마 강화 화학 기상 퇴적 프로세스(PECVD) 동안 RF 전원이 펄싱된다. 형성된 막들은 비정질 규소, 비정질 탄소, 또는 규소 또는 다른 물질(들)의 미세결정질 막들을 포함할 수 있다. 일 예에서, 막 퇴적 동안 RF 전원을 펄싱하는 단계는 미리 결정된 막내 수소 함량을 갖는 막들을 형성한다. 일 예에서, 막내 수소 함량은 10% 미만, 예를 들어, 약 1% 내지 약 9% 범위에 있다. 다른 예에서, 막내 수소 함량은 5% 미만, 예를 들어, 약 1% 내지 약 4% 범위에 있다. 또 다른 예에서, 막내 수소 함량은 2% 미만, 예를 들어, 약 0.1% 내지 약 1% 범위에 있다. RF 전원의 각각의 펄스는, RF 전원이 온일 때의 "온 시간"(T)과 전원이 오프일 때의 "오프 시간"(T오프)의 합인 총 시간(T)을 포함한다. T 및 T오프 각각은, 각각의 펄스에 대한 T 및 T오프의 합이 100%인 듀티 사이클의 백분율로서 정의될 수 있다. PECVD 막 형성 동안, RF 전력의 펄스들의 듀티 사이클은 듀티 사이클의 T 부분이 20%, 15%, 10%, 또는 5% 미만이도록 조정될 수 있다. 일 예에서, PECVD 막 형성 동안, RF 전력의 펄스들의 듀티 사이클은 듀티 사이클의 T 부분이 20% 미만, 예를 들어, 약 1% 내지 약 19%이도록 조정될 수 있다. 다른 예에서, PECVD 막 형성 동안, RF 전력의 펄스들의 듀티 사이클은 듀티 사이클의 T 부분이 15% 미만, 예를 들어, 약 1% 내지 약 14%이도록 조정될 수 있다. 또 다른 예에서, PECVD 막 형성 동안, RF 전력의 펄스들의 듀티 사이클은 듀티 사이클의 T 부분이 10% 미만, 예를 들어, 약 1% 내지 약 9%이도록 조정될 수 있다. 또 다른 예에서, PECVD 막 형성 동안, RF 전력의 펄스들의 듀티 사이클은 듀티 사이클의 T 부분이 5% 미만, 예를 들어, 약 1% 내지 약 4%이도록 조정될 수 있다. 이론에 얽매이는 것은 아니지만, 헬륨 희석 가스를 수소 희석 가스로 대체함으로써 막내 수소 함량이 더 감소될 수 있다고 여겨진다.
일부 예들에서, 펄싱된 RF 플라즈마 막 퇴적 전에 그리고/또는 퇴적 동안에 기판이 가열될 수 있다. 기판은 기판이 위치되는 기판 지지부 주위에 구성된 열 램프들을 사용하여 가열될 수 있다. 다른 예에서, 기판은 기판 지지부에 포함된 가열 요소들을 사용하여 가열될 수 있다. 일 예에서, 펄싱된 RF 플라즈마 막 퇴적은 기판이 300 ℃ 내지 500 ℃, 예컨대, 350 ℃ 내지 450 ℃의 온도에 있는 동안 일어난다. 다른 예들과 조합될 수 있는 일 예에서, 막 퇴적 동안의 챔버의 압력은 적어도 8 Torr, 예를 들어, 약 8 Torr 내지 약 20 Torr, 예컨대, 약 8 Torr 내지 약 15 Torr이다. 또한, 본원에서 논의된 펄싱된 RF 플라즈마 PECVD 작동들의 듀티 사이클을 변화시킴으로써 막 특성들, 예컨대, 굴절률(n), 응력, 및 흡광 계수(k)가 조정될 수 있다.
다른 예에서, 막은, 플라즈마, 예컨대, 실란 플라즈마가, 낮은 퇴적 속도로 펄싱되는 주기적 프로세스에서 퇴적된다. 낮은 퇴적 속도는 약 1 옹스트롬/분 내지 약 5 옹스트롬/분일 수 있다. 막은 헬륨, 수소, 또는 가스들의 조합들의 존재 하에서 20 옹스트롬(Å), 10 Å, 5 Å, 또는 약 3 Å 내지 약 20 Å, 예컨대, 약 5 Å 내지 약 10 Å의 두께로 퇴적될 수 있다. 후속하여, 막은 미리 결정된 기간 동안 수소 플라즈마에 노출된다. 퇴적 및 수소 플라즈마 노출은, 목표 막내 수소 함량, 또는 굴절률(n), 응력, 및/또는 흡광 계수(k)를 갖는 막을 형성하기 위해 1회 이상의 반복 동안 반복된다.
도 1은, 본 개시내용의 구현들에 따른, 시스템(100)을 포함하는 기판 처리 시스템의 개략도이다. 시스템(100)은, 플라즈마 강화 CVD(PECVD) 시스템으로서를 포함하여, CVD 시스템으로서 구성될 수 있다. 시스템(100)은 프로세스 챔버(102)를 포함하고, 프로세스 챔버(102)는 프로세스 챔버(102) 내에 형성된 프로세스 용적(146) 내에 배치된 기판 지지부(104)를 갖는다. 프로세스 챔버(102)는, 프로세스 용적(146)을 한정하는, 챔버 측벽(122), 챔버 바닥(124), 및 챔버 덮개(140)를 포함한다. 챔버 덮개(140)는 프로세스 가스들 및/또는 플라즈마의 분배를 용이하게 하기 위한 가스 분배 조립체(116)를 포함한다.
시스템은 프로세스 챔버(102)의 자동화된 양상들을 제어하도록 작동가능한 시스템 제어기(118)를 더 포함한다. 시스템 제어기(118)는 전체 프로세스 챔버(100)의 제어 및 자동화를 용이하게 하고, 중앙 처리 유닛(CPU), 메모리, 및 지원 회로들(또는 I/O)을 포함할 수 있다. 소프트웨어 명령어들 및 데이터는 CPU에 명령하기 위해 메모리 내에서 코딩되고 저장될 수 있다. 시스템 제어기(118)는, 예를 들어, 시스템 버스를 통해 프로세스 챔버(102)의 구성요소들 중 하나 이상과 통신할 수 있다. 시스템 제어기(118)에 의해 판독가능한 프로그램(또는 컴퓨터 명령어들)은 어느 작업들이 기판에 대해 수행가능한지를 결정한다. 일부 구현들에서, 프로그램은 시스템 제어기(118)에 의해 판독가능한 소프트웨어이고, 플라즈마 공급원의 펄스들, 가스 유동, 다양한 제어된 구성요소들의 일련의 이동, 및 이들의 임의의 조합을 제어하기 위한 코드를 포함할 수 있다.
단일 시스템 제어기(118)로서 도시되지만, 다수의 시스템 제어기들이, 본원에 설명된 구현들과 함께 이용될 수 있음을 이해해야 한다. 예를 들어, 일 구현에서, 제1 제어기는 플라즈마 공급원을 제어하고, 제2 제어기는 챔버 자동화를 제어한다.
일부 예들에서, 기판 지지부(104)는 기판 지지 페디스털이다. 기판 지지부(104)는 기판 지지부(104)의 최상부 표면 상에 기판(106)을 보유하거나 지지하는 메커니즘을 포함할 수 있다. 기판(106)은 규소 및/또는 게르마늄을 포함하는 반도체 기판일 수 있다. 일부 예들에서, 기판(106)은, 금속성 또는 유전체 층을 포함하는, 기판 상에 형성된 하나 이상의 층을 가질 수 있다. 보유 메커니즘들의 예들은 정전 척, 진공 척, 기판 유지 클램프 등을 포함할 수 있다. 기판 지지부(104)는 기판 온도를 제어하기 위한 메커니즘들(예컨대, 가열 및/또는 냉각 디바이스들) 및/또는 기판 표면 부근의 종 플럭스 및/또는 이온 에너지를 제어하기 위한 메커니즘들을 포함할 수 있다. 기판 지지부(104)는 기판 지지부(104)에 배치되거나 다른 방식으로 열적으로 결합된 하나 이상의 기판 지지 가열 요소(108)를 포함한다. 하나 이상의 전원(126)이, 예를 들어, 기판(106)이 300 ℃ 내지 500 ℃, 예컨대, 350 ℃ 내지 450 ℃의 온도에 있는 동안 기판 지지부(104)를 미리 결정된 온도로 가열하기 위해 하나 이상의 기판 지지 가열 요소(108)에 결합된다. 구현에서, 하나 이상의 전원(126)은 적어도 5 kW의 에너지를 제공하도록 구성된다. 대안적인 예들에서, 프로세스 챔버(102)는 기판(106) 및/또는 기판 지지부(104)를 조명하도록 위치된 하나 이상의 복사 열 램프(도시되지 않음)를 가질 수 있다.
가스 분배 조립체(116)는 프로세스 챔버(102)에 기판 지지부(104)에 대향하여 배치된다. 가스 분배 조립체(116)는 프로세스 챔버(102)에서의 하나 이상의 작동, 예컨대, 막 퇴적 작동 전에 그리고/또는 동안에 약 200 ℃ 내지 약 350 ℃의 온도로 가열될 수 있다. 가스 분배 조립체(116)의 온도는 기판(106)을 프로세스 챔버(102)에 위치시키기 전에 설정될 수 있다. 가스 분배 조립체(116)의 온도는, 프로세스 챔버(102)에서의 하나 이상의 막의 형성 동안, 미리 결정된 온도 범위 내에서 유지되거나 수정될 수 있다. 가스 분배 조립체(116)의 상승된 온도는, 기판(106)이 위치되는 기판 지지부(104)와 가스 분배 조립체(116) 사이의 온도차를 감소시킴으로써 부분적으로 프로세스 챔버(102) 내로의 가스 유동을 촉진한다. 일 예에서, 가스 분배 조립체(116)의 온도는 전원(130)으로부터의 전력을 복수의 온도 제어 요소들(110)에 인가함으로써 제어될 수 있다. 일 예에서, 가스 분배 조립체(116)는 가스 분배 조립체(116)에 걸쳐 온도 구배 및/또는 온도 구역들을 생성하도록 구성된, 가스 분배 조립체에 배치된 복수의 온도 제어 요소들(110)을 가질 수 있다. 복수의 온도 제어 요소들(110)은 가스 분배 조립체(116)의 온도를 상승, 하강, 또는 유지하는 데 사용될 수 있다. 일부 예들에서, 가스 분배 조립체(116)는, 프로세스 챔버(102) 내에서의 작동들 전에, 동안에, 그리고/또는 후에 전력을 가스 분배 조립체에 제공하도록 구성된 RF 공급원(도시되지 않음)에 결합될 수 있다.
가스 분배 조립체(116)는 가스 분배 조립체(116)의 면판을 통해 형성된 복수의 애퍼처들(132)을 더 포함한다. 가스 매니폴드(114)로부터 프로세스 챔버(102) 내로 도입된 가스는 복수의 애퍼처들(132)을 통해 프로세스 용적(146)에 도입된다. 복수의 애퍼처들(132)은, 도 1에 도시된 바와 같이 챔버 덮개(140)를 포함하는 가스 분배 조립체(116)에 걸쳐 다양한 구성들로 배열될 수 있다. 다양한 예들에서, 복수의 애퍼처들(132)은 동심 링들, 링 클러스터들, 무작위로 위치된 클러스터들, 또는 다른 기하학적 형상들로서 배열될 수 있다. 복수의 애퍼처(132) 중 각각의 애퍼처는 본원에서 대략 동일한 직경인 것으로서 도시되지만, 다른 예들에서, 애퍼처들(132)의 직경이 다양할 수 있음이 고려된다. 일부 예들에서, 가스 분배 조립체(116)는, 챔버 덮개(140)에 걸쳐 다양한 온도들의 구역들을 생성하기 위해 복수의 온도 제어 요소들(110)이 개별적으로 또는 군들로 제어될 수 있도록 구역 가열을 포함한다.
가스 분배 조립체(116)는, 선택적 챔버 라이너(120)가 가스 분배 조립체(116)와 (직접 접촉하거나 이들 사이에 배치된 접착제에 의해) 동일 평면 상에 있도록 선택적 챔버 라이너(120)에 근접하여 위치될 수 있다. 선택적 챔버 라이너(120)가 채용되는 예들에서, 라이너 측벽(138)은 프로세스 용적(146)에 노출되고, 따라서, 선택적 챔버 라이너는 챔버 측벽(122)을 보호한다. 일부 구현들에서, 선택적 챔버 라이너(120)는 프로세스 챔버(102)의 챔버 바닥(124)(예를 들어, 바닥 표면)을 따라 더 배치될 수 있다. 따라서, 프로세스 챔버(102)의 챔버 측벽(122)은 선택적 챔버 라이너(120)에 의해 프로세스 용적(146)으로부터 보호될 수 있다. 하나 이상의 배기 시스템(도시되지 않음)이 프로세스 챔버(102)에 결합될 수 있고, 처리 동안 또는 처리 후에 프로세스 용적(146)으로부터 과잉 프로세스 가스들 또는 부산물들을 제거하는 데 사용될 수 있다.
일 예에서, 가스 분배 조립체(116)는 냉각기 판(148)에 추가로 결합될 수 있다. 일 예에서, 냉각기 판(148)이 가스 분배 조립체(116)에 결합될 때, 냉각기 판(148)은 가스 분배 조립체(116)에 걸친 온도 또는 온도 구배에 대한 제어를 용이하게 한다. 일부 구현들에서, 냉각기 판(148)은 냉각기 판(148)에 형성된 복수의 채널들(도시되지 않음)을 포함한다. 가스 분배 조립체(116)의 온도에 대한 제어를 용이하게 하기 위해, 복수의 채널들은 온도 제어 유체 공급부(냉각기)(150)에 의해 제공되는 온도 제어 유체가 냉각기 판(148)을 통해 유동하는 것을 허용한다.
본원에서 논의되는 바와 같이, 막 퇴적 작동들은 기판 지지부(104) 상에 위치된 기판(106) 상의 하나 이상의 막의 형성뿐만 아니라, 프로세스 챔버(102)의 노출된 표면들 상의 하나 이상의 막의 형성도 포함할 수 있다. 일 예에서, 프로세스 용적(146) 내부에 형성된 하나 이상의 막은 (예를 들어, 선택적 챔버 라이너(120)가 배제될 때) 챔버 측벽(122) 상에 형성될 수 있다. 선택적 챔버 라이너(120)가 프로세스 챔버(102)에 사용될 때, 본원에서 논의된 바와 같이 형성된 하나 이상의 막이 챔버 측벽(122) 대신에 라이너 측벽(138) 상에 형성될 수 있는데, 이는 챔버 측벽(122)이 선택적 챔버 라이너(120)에 의해 프로세스 용적(146)으로부터 보호되기 때문이다.
하나 이상의 가스 공급원(112)이 가스 매니폴드(114)를 통해 프로세스 챔버(102)에 결합된다. 가스 매니폴드(114)는 가스 분배 조립체(116)에 결합되고, 하나 이상의 가스 공급원(112)으로부터 하나 이상의 가스를 프로세스 용적(146)으로 전달하도록 구성된다. 하나 이상의 가스 공급원(112) 각각은, 캐리어 가스, 플라즈마 형성을 위한 이온화가능한 가스(예컨대, 수소 또는 He), 또는 막 형성을 위한 전구체를 함유할 수 있다. RF 전원(136)은 챔버 측벽(122)의 챔버 벽 전극(142)에 전기적으로 결합될 수 있다. RF 전원(136)은 13.56 MHz RF 전원일 수 있다. RF 전원(136)은 추가로, 기판 지지부(104)에 배치된 기판 지지 전극(152)에 전기적으로 결합될 수 있다. 플라즈마는 RF 전원(136)을 통해 프로세스 용적(146)에 생성될 수 있다. RF 전원(136)은 챔버 벽 전극(142)(양의 전극일 수 있음) 및 기판 지지 전극(152)(접지된 전극일 수 있음)을 사용하여 RF 필드를 생성하도록 구성된 조정된 전원이다. RF 전원(136)은 다양한 작동들 동안 펄싱될 수 있다. 일부 예들에서, 원격 플라즈마 공급원(134)은 플라즈마를 프로세스 챔버(102)에 전달하는 데 사용될 수 있고, 가스 분배 조립체(116)에 결합될 수 있다.
도 2는 펄싱된 RF 플라즈마를 사용하여 막들을 형성하는 방법(200)의 흐름도이다. 방법(200)은 프로세스 챔버(102), 예컨대, 도 1에 도시된 프로세스 챔버(102)의 기판 지지부 상에 배치된 기판 상에 하나 이상의 막을 형성하기 위해 채용될 수 있다. 방법(200)의 이러한 예에서, 작동(202)에서, 기판, 예컨대, 도 1의 기판(106)은 기판 지지부, 예컨대, 기판 지지부(104) 상에 위치된다. 다른 예에서, 방법(200)은 하나 이상의 막을 프로세스 챔버(102)의 내부에 챔버 측벽(122), 챔버 바닥(124), 챔버 덮개(140), 및 다른 노출된 표면들을 포함하는 기판들 상에 형성하는 데(예를 들어, 프로세스 챔버(102) 내의 하나 이상의 표면을 시즈닝하는 데) 채용될 수 있다. 위에서 도 1에서 논의된 바와 같이, 선택적 챔버 라이너(120)가 채용될 때, 하나 이상의 막이 선택적 챔버 라이너(120) 상에 형성될 수 있다. 일 예에서, 방법(200)은 프로세스 챔버(102)에 위치된 기판 없이 수행된다. 다른 예들에서, 방법(200)은 프로세스 챔버(102)에 위치된 기판(106) 및 프로세스 챔버(102)의 하나 이상의 노출된 표면 양쪽 모두 상에 하나 이상의 막을 형성하는 데 채용된다.
작동(204)에서, 하나 이상의 막 전구체 가스가 프로세스 챔버(102)에 도입된다. 하나 이상의 막 전구체 가스는 실란(SiH4), Si2H6, 또는 다른 수소화물 또는 규소 기재의 전구체들을 포함할 수 있다. 다른 예들에서, 하나 이상의 막 전구체 가스는 탄소-및-수소 함유 화합물들을 포함할 수 있다. 예를 들어, 하나 이상의 막 전구체 가스는 C2H2 또는 C3H6를 포함할 수 있다. 다른 예에서, 하나 이상의 막 전구체 가스는 게르마늄, 예컨대, GeH4를 포함할 수 있다. 다른 예에서, 하나 이상의 막 전구체 가스는 비소(As), 예컨대, AsH3를 포함할 수 있다. 일 예에서, 작동(204)에서, 하나 이상의 막 전구체 가스는 약 100 sccm 내지 약 1000 sccm의 유량으로 도입된다. 일 예에서, 작동(204)에서, 하나 이상의 막 전구체 가스는 약 300 sccm 내지 약 800 sccm의 유량으로 도입된다.
또한, 작동(204)에서, 하나 이상의 프로세스 가스가 프로세스 챔버(102) 내로 도입될 수 있다. 작동(204)에서, 하나 이상의 막 전구체 가스 및 프로세스 가스는 도 1의 가스 분배 조립체(116)를 통해 도입될 수 있다. 하나 이상의 프로세스 가스는 아르곤(Ar), 수소, 및/또는 헬륨(He)을 포함할 수 있다. 일 예에서, 작동(204)에서, 헬륨 및 아르곤이 적어도 하나의 막 전구체 가스와 함께 프로세스 챔버(102)에 도입된다. 다른 예에서, 작동(204)에서, 헬륨, 수소, 및 아르곤이 프로세스 챔버(102)에 도입된다. 다른 예에서, 작동(204)에서, 수소 및 아르곤이 프로세스 챔버(102)에 도입된다. 작동(204)에서 헬륨은 약 6500 sccm 내지 약 8000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(204)에서 아르곤은 약 100 sccm 내지 약 10,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 일 예에서, 작동(204)에서, 수소는 약 100 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 다른 예에서, 작동(204)에서, 수소는 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 일 예에서, 헬륨의 유량 대 수소의 유량의 비율은 약 4:1 내지 약 9:1이다. 다른 예에서, 헬륨의 유량 대 수소의 유량의 비율은 약 5:1 내지 약 8:1이다. 일부 예들에서, 작동(204)에서 도입되는 하나 이상의 막 전구체 가스와 작동(204)에서 도입되는 하나 이상의 프로세스 가스 사이에 목표 유량 비율이 존재할 수 있다. 일 예에서, 적어도 하나의 막 전구체 가스의 유량(FPC) 대 프로세스 가스들의 유량(FG)의 비율(FPC:FG)은 1:10보다 크다.
다른 예에서, 작동(204)에서, 수소, 아르곤, 및 헬륨이 프로세스 챔버(102)에 도입된다. 작동(204)에서, 수소는 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(204)에서 아르곤은 약 100 sccm 내지 약 10,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(204)에서 헬륨은 약 100 sccm 내지 약 1000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 일 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 4:1 내지 약 9:1이다. 다른 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 5:1 내지 약 8:1이다.
또 다른 예에서, 작동(204)에서, 수소 및 아르곤이 프로세스 챔버(102)에 도입된다. 작동(204)에서, 수소는 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(204)에서 아르곤은 약 100 sccm 내지 약 10,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 일 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 4:1 내지 약 9:1이다. 다른 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 5:1 내지 약 8:1이다.
작동(206)에서, 플라즈마를 형성하기 위해 하나 이상의 전구체 및 프로세스 가스가 이온화된다. 작동(208)에서, RF 전원, 예컨대, 도 1의 RF 전원(136)이 펄싱되는 동안, 하나 이상의 프로세스 가스 및 하나 이상의 전구체 가스가 프로세스 챔버 내로 도입된다. 작동(208)에서의 각각의 펄스는 듀티 사이클에 대한 총 시간(T)을 갖는다. T은 T과 T오프의 합이다. 일 예에서, 작동(208)에서의 T은 T의 30% 미만, 예를 들어, 약 20% 내지 약 29%이다. 다른 예에서, 작동(208)에서의 T은 T의 20% 미만, 예를 들어, T의 약 15% 내지 약 19%이다. 다른 예에서, 작동(208)에서의 T은 T의 10% 미만, 예를 들어, T의 약 5% 내지 약 9%이다. 추가적인 예에서, 작동(208)에서의 T은 T의 5% 미만, 예를 들어, T의 약 1% 내지 약 4%이다.
또한, 방법(200)에서, 적어도 작동들(204, 206 및 208)에서, 프로세스 챔버(102)의 압력은 약 1 mTorr 내지 약 50 Torr일 수 있다. 다른 예에서, 적어도 작동들(204, 206, 및 208) 동안의 프로세스 챔버(102)의 압력은 약 8 Torr 내지 약 50 Torr, 예를 들어, 약 8 Torr 내지 약 20 Torr일 수 있다. 또한, 방법(200)에서, 적어도 작동들(204, 206, 및 208)에서, 프로세스 챔버(102)의 온도는 약 300 ℃ 내지 약 500 ℃일 수 있다. 다른 예들에서, 작동들(204, 206, 및 208)에서, 프로세스 챔버(102)의 온도는 약 350 ℃ 내지 약 450 ℃일 수 있다. PECVD 작동의 전력 밀도는 프로세스 챔버의 단위 용적당 프로세스 챔버에 인가되는 전력의 양이다. 피크 전력 밀도는 프로세스 챔버 작동 동안의 전력 밀도의 가장 높은 값이다. 일 예에서, 막 퇴적 동안의 피크 전력 밀도는 0.03 W/㎠ 내지 약 1.64 W/㎠일 수 있다. 다른 예에서, 막 퇴적 동안의 피크 전력 밀도는 약 0.06 W/㎠ 또는 약 0.12 W/㎠이다. 다른 예에서, 방법(200) 동안의 전력 밀도는 약 0.03 W/㎠ 내지 약 1.64 W/㎠일 수 있다. 일부 예들에서, 방법(200) 동안의 전력 밀도는 약 0.06 W/㎠ 내지 약 0.3 W/㎠이다.
방법(200)의 작동(210)에서, 막이 형성된다. 작동(210)에서 형성된 막은 작동(202)이 수행될 때 기판(106) 상에 형성될 수 있다. 다른 예들에서, 작동(210)에서 형성된 막은 프로세스 챔버(102)의 덮개, 바닥, 측벽, 또는 다른 노출된 표면들 상에 형성될 수 있다. 작동(208)에서 RF 전력을 사용하여 플라즈마를 펄싱하는 것은 프로세스 용적(146)에서의 플라즈마의 수소 농도를 감소시키고, 기판(106)의 표면 또는 프로세스 챔버(102)의 노출된 표면으로부터의 수소 탈착 속도를 증가시킨다. 감소된 탈착은 작동(210)에서 형성된 막의 수소 함량을 낮춘다. 작동(210)에서의 막 전구체로부터의 막의 형성은 작동(208)의 T 기간들에 응답하여 일어난다.
작동(210)에서 형성되는 막은 최대 약 500 Å의 두께를 갖도록 형성될 수 있다. 작동(210)에서 형성된 막은 약 10% 미만의 수소 함량을 포함할 수 있다. 다른 예들에서, 작동(210)에서 형성된 막은 약 5% 미만의 수소 함량을 포함할 수 있다. 다른 예에서, 작동(210)에서 형성된 막은 약 3% 미만의 수소 함량을 포함할 수 있다. 다른 예에서, 작동(210)에서 형성된 막은 약 2% 미만의 수소 함량을 포함할 수 있다. 다른 예에서, 작동(210)에서 형성된 막은 약 1% 미만의 수소 함량을 포함할 수 있다. 플라즈마의 펄싱 및 전구체 가스 도입에 응답하여 작동(210)에서 형성된 막은 약 10 Å/분 내지 약 1,000 Å/분의 속도로 퇴적될 수 있다. 다른 예에서, 퇴적 속도는 약 80 Å/분 내지 약 300 Å/분일 수 있다. 방법(200)을 사용하여 형성된 막은 비정질 막, 예컨대, a-Si, 또는 다결정질 막일 수 있다. 방법(200)을 사용하여 형성된 막은 633 nm에서 약 4.25 내지 약 4.45의 굴절률을 가질 수 있다. 본원의 다른 예들과 조합될 수 있는 다른 예에서, 방법(200)을 사용하여 형성된 막은 약 0.140 내지 약 0.180의 흡광 계수(k)를 나타낸다. 다른 예들과 조합될 수 있는 다른 예에서, 방법(200)을 사용하여 형성된 막은 약 0.140 내지 약 0.160의 k를 갖는다. 본원의 다른 예들과 조합될 수 있는 다른 예에서, 방법(200)을 사용하여 형성된 막은 약 -1100 MPa 내지 약 -300 MPa의 막내 응력을 나타낸다. 또한, 본원의 다른 예들과 조합될 수 있는, 방법(200)의 예에서, 막 균일성으로 또한 지칭될 수 있는, 막 두께의 변동은 약 1% 미만 내지 약 6%일 수 있다. 본원의 다른 예들과 조합될 수 있는 다른 예에서, 막 균일성은 약 4% 미만이다.
도 3은 펄싱된 RF 플라즈마를 사용하여 막들을 형성하는 방법(300)의 흐름도이다. 방법(300)은 방법(200)과 유사하지만, 방법(300)은 주기적 프로세스에서 막을 형성한다. 방법(300)은 프로세스 챔버, 예컨대, 도 1에 도시된 프로세스 챔버(102)의 기판 지지부 상에 위치된 기판 상에 하나 이상의 막을 형성하기 위해 채용될 수 있다. 방법(300)의 이러한 예에서, 작동(302)에서, 기판, 예컨대, 도 1의 기판(106)은 기판 지지부, 예를 들어, 도 1의 기판 지지부(104) 상에 위치된다. 다른 예에서, 방법(300)은 프로세스 챔버(102)의 내부 표면들 상에 하나 이상의 막을 형성하는 데, 예를 들어, 시즈닝 프로세스(seasoning process)에 채용될 수 있다. 시즈닝 프로세스 동안, 방법(300)은 프로세스 챔버(102)에 위치된 기판 없이 수행된다. 다른 예들에서, 방법(300)은 프로세스 챔버(102)에 위치된 기판(106) 및 프로세스 챔버(102)의 내부 표면들 양쪽 모두 상에 하나 이상의 막을 형성하는 데 채용된다.
작동(304)에서, 하나 이상의 막 전구체 가스가 프로세스 챔버에 도입된다. 하나 이상의 막 전구체 가스는 실란(SiH4), Si2H6, 또는 다른 탄소- 또는 규소-함유 전구체들을 포함할 수 있다. 다른 예들에서, 하나 이상의 막 전구체 가스는 C2H2 또는 C3H6를 포함할 수 있다. 다른 예에서, 하나 이상의 막 전구체 가스는 게르마늄, 예컨대, GeH4를 포함할 수 있다. 다른 예에서, 하나 이상의 막 전구체 가스는 비소(As), 예컨대, AsH3를 포함할 수 있다. 일 예에서, 작동(304)에서, 하나 이상의 막 전구체 가스는 약 100 sccm 내지 약 1,000 sccm의 유량으로 도입된다.
또한, 작동(304)에서, 하나 이상의 프로세스 가스가 프로세스 챔버(102) 내로 도입될 수 있다. 작동(304)에서, 하나 이상의 막 전구체 가스 및 프로세스 가스는 도 1의 가스 분배 조립체(116)를 통해 도입될 수 있다. 하나 이상의 프로세스 가스는 아르곤(Ar), 수소, 및/또는 헬륨(He)을 포함할 수 있다. 일 예에서, 작동(304)에서, 헬륨 및 아르곤이 적어도 하나의 막 전구체 가스와 함께 프로세스 챔버에 도입된다. 다른 예에서, 작동(304)에서, 헬륨, 수소, 및 아르곤 모두가 프로세스 챔버에 도입된다. 다른 예에서, 작동(304)에서, 수소 및 아르곤이 프로세스 챔버에 도입된다. 작동(304)에서, 헬륨은 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버에 도입될 수 있다. 작동(304)에서, 아르곤은 약 100 sccm 내지 약 10,000 sccm의 유량으로 프로세스 챔버에 도입될 수 있다. 작동(304)에서, 수소는 약 100 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버에 도입될 수 있다. 다른 예에서, 작동(304)에서, 수소는 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버에 도입될 수 있다. 일 예에서, 헬륨의 유량 대 수소의 유량의 비율은 약 4:1 내지 약 9:1이다. 다른 예에서, 헬륨의 유량 대 수소의 유량의 비율은 약 5:1 내지 약 8:1이다. 일부 예들에서, 작동(304)에서 도입되는 하나 이상의 막 전구체 가스와 작동(304)에서 도입되는 하나 이상의 프로세스 가스 사이에 목표 유량 비율이 존재할 수 있다. 일 예에서, 적어도 하나의 막 전구체 가스의 유량(FPC) 대 프로세스 가스들의 유량(FG)의 비율(FPC:FG)은 1:10보다 크다.
다른 예에서, 작동(304)에서, 수소, 아르곤, 및 헬륨이 프로세스 챔버(102)에 도입된다. 작동(304)에서, 수소는 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(304)에서 아르곤은 약 100 sccm 내지 약 10,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(304)에서 헬륨은 약 100 sccm 내지 약 1,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 일 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 4:1 내지 약 9:1이다. 다른 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 5:1 내지 약 8:1이다.
또 다른 예에서, 작동(304)에서, 수소 및 아르곤이 프로세스 챔버(102)에 도입된다. 작동(304)에서, 수소는 약 6,500 sccm 내지 약 8,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 작동(304)에서 아르곤은 약 100 sccm 내지 약 10,000 sccm의 유량으로 프로세스 챔버(102)에 도입될 수 있다. 일 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 4:1 내지 약 9:1이다. 다른 예에서, 수소의 유량 대 헬륨의 유량의 비율은 약 5:1 내지 약 8:1이다.
작동(306)에서, 하나 이상의 막 전구체 가스가 플라즈마로 이온화된다. 작동(308)에서, 제1 막 중간층, 예를 들어, 막의 하위 부분을 퇴적시키기 위해 RF 전원, 예컨대, 도 1의 RF 전원(136)이 펄싱된다. 작동(308)에서 제1 막 중간층은 기판(106) 상에 퇴적될 수 있다. 다른 예에서, 작동(308)에서 제1 막 중간층은 프로세스 챔버(102)의 하나 이상의 내부 표면 상에 퇴적될 수 있다. 작동(308) 동안, 아르곤(Ar) 및 헬륨(He)을 포함하는 프로세스 가스들이 프로세스 챔버에 존재하고 플라즈마로 이온화될 수 있다. 일 예에서, 작동(308) 동안 수소가 프로세스 챔버(102)에 존재하지 않는다. 다른 예들에서, 작동(308) 동안 수소 플라즈마가 프로세스 챔버(102)에 존재한다.
작동(308)에서 형성되는 제1 막 중간층은 약 1 Å/분 내지 약 10 Å/분의 속도로, 예컨대, 약 2 Å/분 내지 약 5 Å/분의 속도로 퇴적될 수 있다. 작동(308)에서 RF 전력을 사용하여 플라즈마를 펄싱하는 것은 프로세스 용적에서의 수소 플라즈마 농도를 감소시키고 표면으로부터의 수소 탈착 속도를 증가시키며, 각각의 막 중간층의 수소 함량을 미리 결정된 범위 내로 또는 미리 결정된 최대치 미만으로 낮춘다. 위에서 논의된 바와 같이, 작동(308)에서의 RF 전력의 각각의 펄스는, T과 T오프의 합인, 듀티 사이클에 대한 총 시간(T)을 갖는다. 일 예에서, 작동(308)에서의 T은 T의 30% 미만, 예를 들어, 약 20% 내지 약 29%이다. 일 예에서, 작동(308)에서의 T은 T의 20% 미만, 예를 들어 T의 약 15% 내지 약 19%이다. 일 예에서, 작동(308)에서의 T은 T의 10% 미만, 예를 들어 T의 약 5% 내지 약 9%이다. 또 다른 예에서, 작동(308)에서의 T은 T의 5% 미만, 예를 들어, T의 약 1% 내지 약 4%이다. 막 전구체로부터의 막 중간층의 퇴적은 작동(308)의 T 기간들 동안 일어난다.
또한 방법(300)에서, 작동들(304, 306, 및 308) 중 하나 이상의 작동 동안, 프로세스 챔버(102)의 압력은 약 1 mTorr 내지 약 50 Torr일 수 있다. 다른 예에서, 작동들(304, 306, 및 308) 중 하나 이상의 작동 동안의 프로세스 챔버(102)의 압력은 약 8 Torr 내지 약 50 Torr, 예를 들어, 약 8 Torr 내지 약 20 Torr일 수 있다. 또한, 방법(300)에서, 작동들(304, 306, 및 208) 중 하나 이상의 작동 동안, 프로세스 챔버(102)의 온도는 약 300 ℃ 내지 약 500 ℃일 수 있다. 다른 예들에서, 작동들(304, 306, 및 308) 중 하나 이상의 작동에서, 프로세스 챔버(102)의 온도는 약 300 ℃ 내지 약 500 ℃일 수 있다. 또 다른 예들에서, 작동들(304, 306, 및 308) 중 하나 이상의 작동에서, 프로세스 챔버(102)의 온도는 약 350 ℃ 내지 약 450 ℃일 수 있다. 방법(300) 동안의 전력 밀도는 약 0.03 W/㎠ 내지 약 1.64 W/㎠일 수 있다. 일부 예들에서, 방법(300) 동안의 전력 밀도는 약 0.06 W/㎠ 내지 약 0.3 W/㎠이다.
작동(310)에서, 전구체 플라즈마를 제거하기 위해 프로세스 챔버(102)가 선택적으로 퍼징된다. 일부 예들에서는, 퍼지가 수행되지 않는다. 다른 예에서, 플라즈마가 챔버에서 점화된 상태로 유지되고 전구체 가스 유동이 중단되어 이를 챔버로부터 제거하도록 캐리어 및/또는 퍼지 가스가 연속적으로 유동된다. 퍼징이 수행되지 않는 예에서, 적어도 작동들(306 및 308) 동안 수소 플라즈마가 존재할 수 있다. 또한, 이 예에서, 방법(300)은 작동(308)으로부터 작동(312)으로 진행할 수 있다. 작동(312)에서, 수소 플라즈마가 프로세스 챔버(102)에서 형성되고/거나 유지되고, 작동(308)에서 형성된 제1 막 중간층은 수소 플라즈마에 노출된다.
방법(300)의 작동(314)에서, 작동들(304, 306, 308, 310, 및 312)의 하나 이상의 반복으로 퇴적된 하나 이상의 중간층으로부터 막이 형성된다. 이러한 반복들을 통해 형성된 중간층들의 총 두께는 최대 약 50 Å일 수 있다. 각각의 사이클을 통해 형성된 각각의 중간층은 약 2 Å 내지 약 49 Å의 두께를 가질 수 있다. 작동(314)에서 작동들(304, 306, 308, 310, 및 312)의 하나 이상의 반복을 통해 형성된 막은 약 10% 미만, 예컨대, 약 5% 미만, 또는 약 3% 미만, 또는 약 2% 미만, 예컨대, 약 1% 미만의 수소 함량을 포함할 수 있다. 작동(314)에서 형성된 막은 프로세스 챔버의 내부 표면들 상에 형성될 수 있다. 다른 예들과 조합될 수 있는 다른 예에서, 막은 프로세스 챔버(102)에 위치된 기판(106) 상에 형성될 수 있다. 일 예에서, 작동(314)에서 형성된 막은 미세결정질 결정립 구조를 가질 수 있다.
이로써, 본원에 논의된 시스템들 및 방법들을 사용하여, 막을 퇴적시키기 위해, 펄싱된 RF 플라즈마가 단독으로 또는 H-플라즈마 노출과 조합되어 사용된다. 본원에서 퇴적된 막들은 기판들 상에 그리고/또는 챔버 구성요소들 또는 다른 노출된 표면들 상에 형성될 수 있다. 펄싱된 RF 플라즈마는 하나 이상의 반도체 막 전구체를 포함할 수 있고, 플라즈마는, 약 20% 미만의 듀티 사이클 온 시간을 갖는 복수의 펄스들을 갖도록, RF 전력을 사용하여 펄싱될 수 있다.
구현들은 이하의 장점들 중 하나 이상을 포함할 수 있다. 본원에서 논의된 방법들에 따라 형성된 막들은 10% 미만의 수소 함량을 가질 수 있다. 본원에 논의된 시스템들 및 방법들은 미리 결정된 막 특성들, 예컨대, 흡광 계수, 막 응력 및 굴절률을 포함하는 막들을 형성할 수 있다.
본 명세서에 설명된 구현들 및 기능적 작동들 전부는, 디지털 전자 회로로, 본 명세서에 개시된 구조적 수단들 및 그의 구조적 등가물들을 포함하는, 컴퓨터 소프트웨어, 펌웨어, 또는 하드웨어로, 또는 그들의 조합들로 구현될 수 있다. 본원에 설명된 구현들은, 하나 이상의 비일시적 컴퓨터 프로그램 제품으로, 즉, 하나 이상의 컴퓨터 프로그램(데이터 처리 장치, 예를 들어, 프로그램가능 프로세서, 컴퓨터, 또는 다수의 프로세서들 또는 컴퓨터들에 의한 실행을 위해, 또는 그의 작동을 제어하기 위해, 기계 판독가능 저장 디바이스에 유형적으로 구체화됨)으로 구현될 수 있다.
본 명세서에서 설명된 프로세스들 및 논리 흐름들은, 입력 데이터를 조작하고 출력을 생성함으로써 기능들을 수행하기 위해 하나 이상의 컴퓨터 프로그램을 실행하는 하나 이상의 프로그램가능 프로세서에 의해 수행될 수 있다. 프로세스들 및 논리 흐름들은 또한, 특수 목적 논리 회로, 예를 들어, FPGA(필드 프로그램가능 게이트 어레이) 또는 ASIC(주문형 집적 회로)에 의해 수행될 수 있고, 장치는 또한, 그러한 특수 목적 논리 회로로서 구현될 수 있다.
"데이터 처리 장치"라는 용어는, 예로서, 프로그램가능 프로세서, 컴퓨터, 또는 다중 프로세서들 또는 컴퓨터들을 포함하는, 데이터를 처리하기 위한 모든 장치, 디바이스들 및 머신들을 포함한다. 장치는, 하드웨어 이외에도, 해당 컴퓨터 프로그램을 위한 실행 환경을 생성하는 코드, 예를 들어, 프로세서 펌웨어, 프로토콜 스택, 데이터베이스 관리 시스템, 운영 체제, 또는 이들 중 하나 이상의 조합을 구성하는 코드를 포함할 수 있다. 컴퓨터 프로그램의 실행에 적합한 프로세서들은, 예로서, 범용 및 특수 목적 마이크로프로세서들 양쪽 모두, 및 임의의 종류의 디지털 컴퓨터의 임의의 하나 이상의 프로세서를 포함한다.
컴퓨터 프로그램 명령어들 및 데이터를 저장하기에 적합한 컴퓨터 판독가능 매체는, 예로서, 반도체 메모리 디바이스들, 예를 들어, EPROM, EEPROM, 및 플래시 메모리 디바이스들; 자기 디스크들, 예를 들면, 내부 하드 디스크들 또는 이동식 디스크들; 광 자기 디스크들; 및 CD ROM 및 DVD-ROM 디스크들을 포함하는, 모든 형태들의 비휘발성 메모리, 매체 및 메모리 디바이스들을 포함한다. 프로세서 및 메모리는 특수 목적 논리 회로에 의해 보완되거나 그에 포함될 수 있다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 막을 형성하는 방법으로서,
    프로세스 챔버의 프로세스 용적에 플라즈마를 형성하기 위해 전구체 가스를 이온화하는 단계;
    상기 프로세스 챔버에 결합된 RF 전원을 펄싱하는 단계; 및
    상기 펄싱에 응답하여, 상기 RF 전원의 펄싱 동안 기판 상에 막을 퇴적시키는 단계 - 상기 기판은 상기 프로세스 용적 내부의 기판 지지부 상에 위치되고, 상기 막은 약 10% 미만의 수소 함량을 포함함 -
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 기판 지지부는 상기 막의 퇴적 동안 350 ℃ 내지 450 ℃의 온도에 있는, 방법.
  3. 제1항에 있어서,
    상기 전구체 가스를 도입하는 단계는 상기 기판 지지부에 대향하는 가스 분배 조립체를 통해 상기 전구체 가스를 도입하는 단계를 포함하고, 상기 가스 분배 조립체는 상기 막의 퇴적 동안 약 200 ℃ 내지 약 350 ℃의 온도에 있는, 방법.
  4. 제1항에 있어서,
    상기 RF 전원의 펄싱 동안 상기 막을 1 A/s 내지 8 A/s의 퇴적 속도로 퇴적시키는 단계를 더 포함하는, 방법.
  5. 제1항에 있어서,
    상기 RF 전원을 펄싱하는 단계는 약 20% 미만의 상기 RF 전원의 듀티 사이클 온 시간(T)을 갖는 단계를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 전구체 가스는 규소(Si) 또는 게르마늄(Ge)을 포함하는, 방법.
  7. 제1항에 있어서,
    상기 전구체 가스는 수소를 포함하는, 방법.
  8. 제7항에 있어서,
    상기 전구체 가스는 C2H6, C2H2, 또는 GeH4를 포함하는, 방법.
  9. 막을 형성하는 방법으로서,
    전구체 가스를 프로세스 챔버의 프로세스 용적에 도입하는 단계 - 상기 프로세스 챔버는 챔버 덮개, 챔버 바닥, 및 이들 사이에서 연장되는 측벽을 가짐 -;
    플라즈마를 형성하기 위해 상기 전구체 가스를 이온화하는 단계;
    RF 전원에 의해 생성되는 펄스의 듀티 사이클의 듀티 사이클 온 시간(T)이 상기 듀티 사이클의 총 사이클 시간(T)의 약 20% 미만이게 하도록 상기 RF 전원을 펄싱하는 단계; 및
    약 10% 미만의 수소 함량을 갖는 막을 퇴적시키는 단계
    를 포함하는, 방법.
  10. 제9항에 있어서,
    상기 RF 전원에 의해 생성되는 펄스의 듀티 사이클의 상기 듀티 사이클 온 시간(T)은 상기 듀티 사이클의 총 사이클 시간(T)의 약 10% 미만인, 방법.
  11. 제9항에 있어서,
    상기 막은 약 5% 미만의 수소 함량을 포함하는, 방법.
  12. 제9항에 있어서,
    상기 막은 약 6% 미만의 두께 변동을 갖는, 방법.
  13. 제9항에 있어서,
    상기 막은 상기 프로세스 챔버의 기판 지지부 상에 위치된 기판 상에 퇴적되는, 방법.
  14. 제9항에 있어서,
    상기 막은 상기 프로세스 챔버의 상기 챔버 덮개, 상기 챔버 바닥 및 상기 측벽 중 적어도 하나 상에 퇴적되는, 방법.
  15. 제9항에 있어서,
    상기 막을 퇴적시키는 단계는 약 1 Å/분 내지 약 10 Å/분의 퇴적 속도로 일어나는, 방법.
KR1020217029150A 2019-02-11 2020-02-07 펄싱된 rf 플라즈마를 통한 막 형성 KR20210116689A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962803661P 2019-02-11 2019-02-11
US62/803,661 2019-02-11
PCT/US2020/017284 WO2020167611A1 (en) 2019-02-11 2020-02-07 Film formation via pulsed rf plasma

Publications (1)

Publication Number Publication Date
KR20210116689A true KR20210116689A (ko) 2021-09-27

Family

ID=71946185

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217029150A KR20210116689A (ko) 2019-02-11 2020-02-07 펄싱된 rf 플라즈마를 통한 막 형성

Country Status (7)

Country Link
US (1) US11443919B2 (ko)
JP (1) JP2022519321A (ko)
KR (1) KR20210116689A (ko)
CN (1) CN113544310B (ko)
SG (1) SG11202108364QA (ko)
TW (1) TW202043522A (ko)
WO (1) WO2020167611A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill
US20230143204A1 (en) * 2021-11-08 2023-05-11 Tokyo Electron Limited Plasma Enhanced Film Formation Method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
EP1918967B1 (en) 2006-11-02 2013-12-25 Dow Corning Corporation Method of forming a film by deposition from a plasma
CN101235491A (zh) * 2007-01-29 2008-08-06 北京行者多媒体科技有限公司 脉冲式等离子体镀膜方法
TWI350006B (en) 2007-10-05 2011-10-01 Ind Tech Res Inst Plasma enhanced thin film deposition method
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) * 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US8877617B2 (en) * 2012-09-27 2014-11-04 Sunpower Corporation Methods and structures for forming and protecting thin films on substrates
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10037884B2 (en) * 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Also Published As

Publication number Publication date
CN113544310B (zh) 2024-05-28
CN113544310A (zh) 2021-10-22
US20200258720A1 (en) 2020-08-13
US11443919B2 (en) 2022-09-13
SG11202108364QA (en) 2021-08-30
JP2022519321A (ja) 2022-03-22
TW202043522A (zh) 2020-12-01
WO2020167611A1 (en) 2020-08-20

Similar Documents

Publication Publication Date Title
US20200251328A1 (en) Method of topology-selective film formation of silicon oxide
US10998187B2 (en) Selective deposition with atomic layer etch reset
CN108728824B (zh) 使用斜降流量的反应气体进行等离子体辅助循环沉积的方法
KR102493002B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
TWI704635B (zh) 增進製程均勻性的方法及系統
US9284642B2 (en) Method for forming oxide film by plasma-assisted processing
KR102626138B1 (ko) 피처리체의 처리 방법
KR20120103719A (ko) 연속 플라즈마에 의한 pecvd 다단계 공정
US10553446B2 (en) Method of processing target object
TW202039913A (zh) 賦予摻雜硼之碳膜靜電夾持及極佳粒子性能的漸變原位電荷捕捉層
US11443919B2 (en) Film formation via pulsed RF plasma
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR20160135232A (ko) 기상 증착 방법
US8642376B2 (en) Methods for depositing a material atop a substrate
US9721766B2 (en) Method for processing target object
KR102357328B1 (ko) 도핑된 ⅳ족 재료들을 성장시키는 방법
US20220328292A1 (en) Remote plasma ultraviolet enhanced deposition
KR20180117057A (ko) 피처리체를 처리하는 방법