CN116348999A - Hdp牺牲碳间隙填充 - Google Patents

Hdp牺牲碳间隙填充 Download PDF

Info

Publication number
CN116348999A
CN116348999A CN202180071054.5A CN202180071054A CN116348999A CN 116348999 A CN116348999 A CN 116348999A CN 202180071054 A CN202180071054 A CN 202180071054A CN 116348999 A CN116348999 A CN 116348999A
Authority
CN
China
Prior art keywords
feature
substrate
film
carbon
carbon film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180071054.5A
Other languages
English (en)
Inventor
沈泽清
戚波
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116348999A publication Critical patent/CN116348999A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

描述了用碳间隙填充来填充基板特征同时留有孔隙的方法。所述方法包括以下步骤:使工艺气体流入高密度等离子体化学气相沉积(HDP‑CVD)腔室,所述腔室容纳具有至少一个特征的基板,工艺气体包含烃反应物;生成等离子体;以及沉积碳膜。

Description

HDP牺牲碳间隙填充
技术领域
本公开的实施例总体涉及填充基板特征的方法。更具体地,本公开的实施例涉及使用高密度等离子体化学气相沉积(HDP CVD)腔室用碳填充特征的方法。
背景技术
在微电子器件制造中,对于许多应用需要无孔隙地填充深宽比(AR)大于10:1的窄沟槽。一种应用是用于浅沟槽隔离(STI)。对于此应用,膜需要在整个沟槽中具有高质量(例如,具有小于2的湿蚀刻速率比),并且具有非常低的泄漏。一种过去已经成功的方法是可流动CVD。在此方法中,小心地使寡聚物以气相形成,所述气相在表面上冷凝,随后“流动”到沟槽中。然而,沉积态膜的质量非常差,并且需要诸如蒸气退火和UV固化之类的处理步骤。
超高密度存储装置可以使用三维(3D)堆叠存储器结构生产。例如,3D NAND堆叠的存储器装置可以由交替的导电层和介电层的阵列形成。穿过存储器层形成存储器孔,并且通过用适当的材料填充存储器孔来形成NAND串。随着结构尺寸的减小和深宽比的增大,沉积态膜的后固化方法变得困难。
逻辑和存储器应用两者都需要碳间隙填充工艺。碳材料可以使用等离子体蚀刻移除,而不会影响底层材料。在多层3D NAND生产中,需要牺牲存储器孔塞工艺来保护底层存储器孔,并在底层的顶部沉积上层。此类工艺需要满足吞吐量、干法蚀刻可移除性和高温(约850℃)稳定性的要求。当前的非晶硅(aSi)牺牲填充存在多个集成问题。因此,需要提供在高温下稳定的非晶碳材料的间隙填充工艺。
发明内容
本公开的一个或多个实施例涉及一种形成膜的方法。所述方法包括以下步骤:使工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室,所述腔室容纳具有至少一个特征的基板,工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一者或多者,基板在约400℃至约650℃的范围内的温度和小于约50毫托的压力下处理;通过源RF生成等离子体;通过偏置RF使离子加速,并在所述至少一个特征中沉积碳膜,所述碳膜在所述至少一个特征中具有孔隙。RF能量经由线圈感应耦合到腔室中,并产生高密度等离子体。
本公开的另一实施例涉及一种形成膜的方法。所述方法包括以下步骤:使工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室,所述腔室容纳具有基板表面的基板,工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一者或多者;由源RF生成等离子体;通过偏置RF使离子加速;以及在基板表面上沉积碳膜,所述基板表面上具有至少一个特征,所述至少一个特征从基板表面延伸特征深度至底表面,所述至少一个特征具有由第一侧壁和第二侧壁限定的宽度,其中第一膜沉积在基板表面和所述至少一个特征的第一侧壁、第二侧壁和底表面上,碳膜具有孔隙,所述孔隙位于特征的宽度内与所述特征的底表面相距第一距离处。
本公开的其他实施例涉及一种制造存储器装置的方法。在一个或多个实施例中,所述方法包括以下步骤:在基板上形成膜堆叠,所述膜堆叠包括第一材料和第二材料的多个交替层,并且所述膜堆叠具有堆叠厚度;蚀刻膜堆叠以形成存储器孔开口,所述存储器孔开口从膜堆叠的顶表面延伸一深度至底表面,所述存储器孔开口具有由第一侧壁和第二侧壁限定的宽度;将基板装入高密度等离子体化学气相沉积(HDP-CVD)腔室;使工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室,工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一者或多者,膜堆叠在约400℃至约650℃的范围内的温度和小于约50毫托的压力下;以及在膜堆叠表面上和存储器孔开口的第一侧壁、第二侧壁和底表面上沉积碳膜,所述碳膜具有孔隙,所述孔隙位于存储器孔开口的宽度内与存储器孔开口的底表面相距第一距离处。
附图说明
为了能够详细理解本公开的上述特征的方式,可以通过参考实施例来获得上文简要概述的本公开的更具体描述,所述实施例中的一些实施例在附图中图示。然而,应注意,附图仅图示本公开的典型实施例并因此不应视为对本公开范围的限制,因为本公开可承认其他同等有效的实施例。
图1A至图1D图示了根据本公开的一个或多个实施例的基板的剖视图;
图2A至图2E图示了根据本公开的一个或多个实施例的基板的剖视图;
图3图示了根据本公开的一个或多个实施例的工艺流程。
图4A图示了根据一个或多个实施例的高密度等离子体化学气相沉积(HDP-CVD)系统;并且
图4B图示了可在图4B中的高密度等离子体化学气相沉积(HDP-CVD)中使用的气环的剖视图。
具体实施方式
在描述本公开的若干示例性实施例之前,应理解,本公开不限于以下说明中阐述的构造或工艺步骤的细节。本公开能够用于其他实施例,并且能够以各种方式实践或进行。
本文中使用的术语“约”意指大约或接近,并且在阐述的数值或范围的上下文中意指数值的±15%或更小的变化。例如,相差±14%、±10%、±5%、±2%或±1%的值将满足约的定义。
如在本说明书和所附权利要求书中所使用的,术语“基板”和“晶片”可互换使用,两者都指工艺作用于其上的表面或表面的一部分。本领域技术人员还将理解,除非上下文另外明确指出,否则对基板的引用也可以仅指基板的部分。另外,对在基板上沉积的引用可意指裸基板和在其上沉积或形成有一个或多个膜或特征的基板这两者。
如本文所使用的“基板”,指任意基板或在基板上形成的材料表面,在制造工艺中在所述基板或材料表面上执行膜处理。例如,取决于应用,在其上可执行处理的基板表面包括材料,诸如硅、二氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及任意其他材料,诸如金属、金属氮化物、金属合金,以及其他导电材料。基板包括但不限于半导体晶片。基板可暴露于预处理工艺以研磨、蚀刻、还原、氧化、羟基化(或以其他方式生成或接枝目标化学部分以赋予化学功能)、退火和/或烘烤基板表面。除了直接在基板本身的表面上的膜处理外,在本公开中,所公开的膜处理步骤中的任一步还可在基板上形成的底层上执行,如下文更详细地公开的,并且术语“基板表面”旨在包括如上下文指出的此类底层。因此,例如,在膜/层或部分膜/层已经被沉积到基板表面上的情况下,新沉积的膜/层的暴露表面变成基板表面。给定基板表面包含什么将取决于将沉积什么膜,以及使用的特定化学物质。
V-NAND或3D-NAND结构用于闪存应用。V-NAND装置是具有成块布置的大量单元的竖直堆叠的NAND结构。如本文所使用的,术语“3D-NAND”是指一种类型的电子(固态)非易失性计算机存储存储器,其中存储器单元以多层堆叠。3D NAND存储器通常包括包含浮栅晶体管的多个存储器单元。传统上,3D NAND存储器单元包括围绕位线以三维方式布置的多个NAND存储器结构。
3D NAND技术中的关键步骤是能够竖直地沉积额外的层以提高能力。在多层3DNAND生产中,需要牺牲存储器孔塞工艺来保护底层存储器孔。在后续工艺之前,存储器孔需要用特定的材料填充并平坦化。此类工艺需要满足吞吐量、干法蚀刻可移除性和高温(例如,850℃)稳定性的要求。
其他方法包括基于非晶硅的工艺或其他基于非晶碳的工艺。非晶硅材料需要湿法移除工艺,这会对氧化物/氮化物堆叠产生有害影响。其他非晶碳材料遭受沉积速率低,吞吐量慢,并且在高温下不稳定的困扰。
本公开的实施例提供了使用高密度等离子体化学气相沉积(HDP-CVD)腔室在具有小尺寸的高深宽比(Ar)特征中沉积膜(例如,非晶碳(a-C))的方法。一些实施例有利地提供牺牲碳膜,以填充具有小尺寸的高AR沟槽,同时在沟槽/特征中留下孔隙。一个或多个实施例的牺牲碳膜在退火温度下具有高热稳定性。
本文提供了使用高密度等离子体化学气相沉积(HDP CVD)填充间隙的方法。根据各种实施方式,通过HDP CVD将含碳膜(诸如非晶碳膜)沉积到基板上的间隙中以填充间隙。在一个或多个实施例中,方法可包括在HDP CVD沉积期间使用低氢含量工艺气体以提供间隙填充。一个或多个实施例的非晶碳材料可经由氧(O2)等离子体容易地移除,从而消除对氧化物/氮化物堆叠的影响。
在一个或多个实施例中,特征选自沟槽、通孔、字线狭缝和存储器孔。在特定实施例中,特征为存储器孔。在非常特定的实施例中,特征为NAND装置中的存储器孔。在一个或多个实施例中,填充特征,即间隙填充。在一些实施例中,通过HDP CVD执行间隙填充。
高密度等离子体化学气相沉积(HDP CVD)是包括将带电前驱物物质导向基板的定向CVD工艺。如本文所使用的,高密度等离子体化学气相沉积(HDP-CVD)不同于等离子体增强化学气相沉积技术(也称为PECVD)。HDP-CVD反应器通常采用感应耦合等离子体,而PECVD反应器通常采用电容耦合等离子体。HDP-CVD工艺条件和得到的膜为不同的PECVD工艺。例如,本文描述的各种HDP反应器在小于约50毫托的压力下操作,其中等离子体密度大于1017个离子/m3,例如1017个离子/m3至1019个离子/m3。相反,PECVD工艺在高得多的压力和低得多的等离子体密度下运行,例如1014个离子/m3至1016个离子/m3
对于线圈,HDP反应器可以2MHZ的等离子体频率点燃等离子体,对于放置晶片的基座,HDP反应器可以13.56MHZ的频率点燃等离子体。相反,在电容耦合等离子体反应器中,13.56MHZ的等离子体频率用于产生应用于喷头或基座的等离子体,而2MHZ应用于喷头或基座。HDP反应器中的离子能量可能大于PECVD反应器中的离子能量。因此,在HDP-CVD反应器中沉积的膜的组成和特性不同于在PECVD反应器中沉积的膜的组成和特性。对于碳基间隙填充,PECVD中较低的等离子体密度通常无法产生足够的离解以实现高吞吐量。
在一个或多个实施例中,处理期间的基板温度可在约400℃至约650℃的范围内,或在约510℃至约650℃的范围内。在一个或多个实施例中,腔室压力保持在低于50毫托、或低于40毫托、或低于30毫托、或低于20毫托,或低于10毫托的值。在一个或多个实施例中,基板温度由离子物质的密度、压力和偏置功率控制。
在一个或多个实施例中,高频RF电源或其他电源可用于偏置基板。在沉积操作期间,基板通常偏向于将带电物质向下引导到特征的底部,例如,存储器孔。在一个或多个实施例中,HDP-CVD期间的偏置功率在约0到9500W的范围内,偏置功率随基板表面积而缩放。在一个或多个实施例中,偏压功率和压力对于设计孔隙尺寸和位置至关重要。
图1A图示了根据一个或多个实施例的电子装置100的部分剖视图。在一些实施例中,提供具有特征106的基板102以用于在HDP-CVD处理腔室101中进行处理。如在本说明书和所附权利要求中所使用的,术语“提供”意味着基板可用于进行处理(例如,被放置在处理腔室内)。为说明目的,附图示出了具有单个特征的基板;然而,本领域技术人员应理解可存在多于一个特征。特征106的形状可以是任何适当的形状,包括但不限于沟槽和圆柱形通孔。如在此方面使用,术语“特征”意味着任何有意的表面不规则。特征的合适示例包括但不限于具有顶部、两个侧壁和底部的沟槽、字线狭缝和存储器孔,具有顶部和两个侧壁的尖峰。特征可具有任何适当的深宽比(特征的深度与特征的宽度的比值)。在一些实施例中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、或45:1、或50:1、或55:1、或60:1、或65:1、或70:1、或75:1、或80:1、或85:1、或90:1、或95:1、或100:1。
在一个或多个实施例中,基板102具有基板表面120。至少一个特征106在基板表面120中形成开口。至少一个特征106从基板表面120延伸特征深度Df至底表面112。在一个或多个实施例中,至少一个特征的深度Df在约50nm至约10000nm的范围内。
至少一个特征106具有第一侧壁114和第二侧壁116,第一侧壁114和第二侧壁116定义了至少一个特征106的宽度W。由侧壁114、116和底表面112形成的开口区域也称为间隙。在一个或多个实施例中,宽度W沿至少一个特征106的深度Df为均匀的。在其他实施例中,至少一个特征106的顶部处的宽度W大于至少一个特征106的底表面112处的宽度W。
在一个或多个实施例中,至少一个特征106包括存储器孔或字线狭缝。因此,在一个或多个实施例中,基板102包括存储器装置或逻辑装置,例如NAND、VNAND、DRAM等。
参考图1B至图1D,在一个或多个实施例中,在基板表面120、以及至少一个特征106的壁114、116和底部112上形成碳膜108。如图1B至图1D所示,在一个或多个实施例中,碳膜108具有位于至少一个特征106的宽度W内的孔隙122。
在一些实施例中,碳膜108为连续膜。如本文使用,术语“连续的”指覆盖整个暴露表面的层,而没有露出在沉积层下面的材料的间隙或裸点。连续层可能具有间隙或裸点,所述间隙或裸点具有比膜的总体表面积的约1%更小的表面积。
在一个或多个实施例中,具有形成在其上的至少一个特征106的基板102放置在HDP-CVD腔室101中以进行处理。参考图1B,在一个或多个实施例中,在基板表面120、以及至少一个特征106的壁114、116和底部112上形成碳膜108。
在一个或多个实施例中,碳膜108是通过将工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室101而形成。在一个或多个实施例中,工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一个或多个。在一些实施例中,工艺气体包含氢碳比(H:C)小于或等于1:1的烃反应物。
在一些实施例中,烃反应物包含烯烃和炔烃中的一个或多个。如本文所用,术语“烯烃”指含有碳-碳双键的烃。烯烃为只有一个双键的无环烃。如本文所用,术语“炔烃”指包含至少一个碳-碳三键的不饱和烃。在一个或多个实施例中,烃反应物选自由以下各项组成的群组:乙炔(C2H2)、丙烯(C3H6)、乙烯(C2H4)和甲基乙炔(C3H4)。
用于沉积碳膜的烃工艺气体的非限制性示例包括乙炔(C2H2)/氢(H2)/氦(He)/氩(Ar)、丙烯(C3H6)/氢(H2)/氦(He)/氩(Ar)、乙烯(C2H4)/氢(H2)/氦(He)/氩(Ar)、以及甲基乙炔(C3H4)/氢(H2)/氦(He)/氩(Ar)。
随后将碳膜108沉积到特征106中,并在侧壁114、116和底部112上形成,但在至少一个特征106中留下孔隙122。根据各种实施例,填充间隙可以单个沉积或多个沉积执行。
在一个或多个实施例中,通过在HDP CVD沉积中使用烃工艺气体,可提供间隙填充。这在图1A至图1D中示意性地表示,图1A至图1D描绘了在沉积阶段中使用碳膜108填充的特征106的剖视图。随着沉积的进行,再沉积和优先生长形成尖端110。这导致特征106顶部闭合,进而产生孔隙122。
孔隙122被图示为碳膜108中的矩形开口。然而,本领域技术人员将理解,这仅仅是为了说明目的。孔隙122的形状和尺寸可以变化。
在一个或多个实施例中,烃工艺气体以约10sccm至约150sccm(包括约15sccm至约135sccm)范围内的流速流入HDP-CVD处理腔室。标准立方厘米每分钟(sccm)为流量测量单位,表示在给定流体(通常为气体)的温度和压力的标准条件下的立方厘米每分钟(cm3/min)。
在一个或多个实施例中,氩(Ar)以约40sccm至约60sccm范围内的流速引入/流入HDP-CVD腔室。在一个或多个实施例中,氢(H2)以约0sccm至约500sccm范围内(包括约0sccm至约300sccm和约0sccm至约200sccm的范围)的流速引入/流入HDP-CVD腔室。在一个或多个实施例中,氦(He)以约0sccm至约500sccm范围内以及约0sccm至约300sccm范围内的流速引入/流入HDP-CVD腔室。
在一个或多个实施例中,在约400℃至约650℃范围内的温度和小于约50毫托的压力下处理基板。在一些实施例中,压力小于约40毫托,或小于约30毫托,或小于约20毫托,或小于约10毫托。
在工艺气体流入HDP-CVD腔室后,产生等离子体以在至少一个特征106和基板表面122上形成碳膜108。
在一个或多个实施例中,碳膜108具有优异的热稳定性。碳膜108在大于或等于800℃的温度下退火1小时后,碳膜108的收缩率小于15%。在一些实施例中,碳膜108的收缩率小于10%。
图2A至图2E图示了根据一个或多个实施例的存储器装置200(例如,NAND装置)的部分剖视图。在一些实施例中,提供具有特征214的基板202以用于在HDP-CVD处理腔室201中进行处理。特征214的形状可为任何适当的形状,包括但不限于沟槽和圆柱形通孔。如在此方面使用,术语“特征”意味着任何有意的表面不规则。特征的合适示例包括但不限于具有顶部、两个侧壁和底部的沟槽、字线狭缝和存储器孔,具有顶部和两个侧壁的尖峰。特征可具有任何适当的深宽比(特征的深度与特征的宽度的比值)。在一些实施例中,深宽比大于或等于约5:1、10:1、15:1、20:1、25:1、30:1、35:1、40:1、45:1、50:1、55:1、60:1、65:1、70:1、75:1、80:1、85:1、90:1、95:1、或100:1。在一个或多个实施例中,特征214包括存储器孔。
在一个或多个实施例中,基板202具有基板表面222。至少一个特征214在基板表面222中形成开口。至少一个特征214从基板表面222延伸特征深度Dm至底表面220。至少一个特征214具有第一侧壁216和第二侧壁218,第一侧壁216和第二侧壁218定义了至少一个特征214的宽度Wm。在一个或多个实施例中,宽度Wm沿至少一个特征214的深度Dm为均匀的。在其他实施例中,至少一个特征214的顶部处的宽度Wm大于至少一个特征214的底表面220处的宽度Wm
在一个或多个实施例中,至少一个特征214包括存储器孔或字线狭缝。因此,在一个或多个实施例中,装置200包括存储器装置或逻辑装置,例如NAND、VNAND、DRAM等。
在一个或多个实施例中,装置200包括膜堆叠,所述膜堆叠包括沉积在半导体基板202上的第一材料210和第二材料212的多个交替层。在一个或多个实施例中,第一材料210和第二材料212独立地包括氧化物材料、氮化物材料和多晶硅材料中的一个或多个。在特定实施例中,第一材料210为氮化物材料,并且第二材料212为沉积在半导体基板202上的氧化物材料212。
半导体基板202可以是任何合适的基板材料。在一个或多个实施例中,半导体基板202包括半导体材料,例如硅(Si)、碳(C)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、磷酸铟(InP)、砷化铟镓(InGaAs)、铟铝砷化物(InAlAs)、锗(Ge)、硅锗(SiGe)、铜铟硒化镓(CIGS)、其他半导体材料或其任何组合。在一个或多个实施例中,半导体基板102包含硅(Si)、锗(Ge)、镓(Ga)、砷(As)、铟(In)、磷(P)、铜(Cu)、或硒(Se)中的一个或多个。尽管本文描述了可以形成基板202的材料的一些示例,但是可以用作可在其上构造无源和有源电子装置(例如,晶体管、存储器、电容器、电感器、电阻器、开关、集成电路、放大器、光电子装置或任何其他电子装置)的底座的任何材料落在本公开的精神和范围内。
图2A至图2C图示了根据一个或多个实施例的方法处理存储器装置200的部分剖视图。图3图示了根据本公开的一个或多个实施例的处理方法300的工艺流程图。参考图2A至图2C和图3,在一个或多个实施例中,在基板202上形成至少一个特征214。在一些实施例中,基板202被提供用于在操作302之前进行处理。在一个或多个实施例中,基板202上已经形成至少一个特征214。在其他实施例中,在操作302处,在基板202上形成至少一个特征214。在一个或多个实施例中,至少一个特征从基板表面222延伸特征深度Dm至底表面220,至少一个特征具有由第一侧壁216和第二侧壁218定义的宽度Wm
在一个或多个实施例中,在操作304处,将具有形成在其上的膜堆叠204的基板202放置在HDP-CVD腔室201中进行处理。参考图2B,在一个或多个实施例中,在基板表面222、以及至少一个特征214的壁216、218和底部220上形成碳膜208。
在一个或多个实施例中,碳膜208是通过将工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室101而形成。在一个或多个实施例中,工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一个或多个。在一些实施例中,工艺气体包含氢碳比(H:C)小于或等于1:1的烃反应物。
在一些实施例中,烃反应物包含烯烃和炔烃中的一个或多个。如本文所用,术语“烯烃”指含有碳-碳双键的烃。烯烃为只有一个双键的无环烃。如本文所用,术语“炔烃”指包含至少一个碳-碳三键的不饱和烃。在一个或多个实施例中,烃反应物选自由以下各项组成的群组:乙炔(C2H2)、丙烯(C3H6)、乙烯(C2H4)、以及甲基乙炔(C3H4)。
用于沉积碳膜的烃工艺气体的非限制性示例包括乙炔(C2H2)/氢(H2)/氦(He)/氩(Ar)、丙烯(C3H6)/氢(H2)/氦(He)/氩(Ar)、乙烯(C2H4)/氢(H2)/氦(He)/氩(Ar)、以及甲基乙炔(C3H4)/氢(H2)/氦(He)/氩(Ar)。
随后将碳膜208沉积在存储器孔214中,并在侧壁216、218和底部220上形成,但在存储器孔214中留下孔隙240。根据各种实施例,填充间隙可以单个沉积或多个沉积执行。
孔隙240在图2C中被图示为碳膜208中的矩形开口。然而,本领域技术人员将理解,这仅仅是为了说明目的。孔隙240的形状和尺寸可以变化。
在一个或多个实施例中,通过在HDP CVD沉积中使用烃工艺气体,可提供间隙填充。这在图2A至图2C中示意性地表示,图2A至图2C描绘了在使用HDP-CVD的沉积阶段中填充有碳膜208的特征214的剖视图。随着沉积的进行,参考图2B,尖端206形成。这导致存储器孔214顶部闭合,进而产生孔隙240。在不旨在受理论约束的情况下,填充存储器孔214并有意地形成孔隙240,以便在稍后制造NAND装置时更容易移除碳膜208。存储器孔为高深宽比结构,其中深度远大于其宽度。如果存储器孔214完全填充有碳膜208,则移除膜将花费非常长的时间,并且在移除期间可能损坏装置。如果碳膜208仅位于存储器孔214的顶部,则在下方有孔隙240,溶剂或等离子体可渗透到孔隙240中以使碳膜208更容易移除。
在一个或多个实施例中,烃以约10sccm至约150sccm(包括约15sccm至约135sccm)范围内的流速流入HDP-CVD处理腔室。标准立方厘米每分钟(sccm)为流量测量单位,指示在给定流体(通常为气体)的温度和压力的标准条件下的立方厘米每分钟(cm3/min)。
在一个或多个实施例中,氩(Ar)以约40sccm至约60sccm范围内的流速引入/流入HDP-CVD腔室。在一个或多个实施例中,氢(H2)以约0sccm至约500sccm范围内(包括约0sccm至约300sccm和约0sccm至约200sccm的范围)的流速引入/流入HDP-CVD腔室。在一个或多个实施例中,氦(He)以约0sccm至约500sccm范围内和约0sccm至约300sccm范围内的流速引入/流入HDP-CVD腔室。
在一个或多个实施例中,在约400℃至约650℃范围内的温度和小于约50毫托的压力下处理装置200。在一些实施例中,压力小于约40毫托,或小于约30毫托,或小于约20毫托,或小于约10毫托。
在一个或多个实施例中,碳膜208具有优异的热稳定性。碳膜208在大于或等于800℃的温度下退火1小时后,碳膜208的收缩率小于20%。在一些实施例中,碳膜208的收缩率小于15%。在一些实施例中,碳膜208的收缩率小于10%。
如图2C所示,在一个或多个实施例中,碳膜208具有位于至少一个特征214的宽度Wm内的孔隙240。
在判定点310处,确定是否已实现碳膜208的期望的膜性质。如果已实现期望的性质,则在操作312处提供装置200以进行进一步处理。如果未实现期望的性质,则工艺返回到操作306,在操作306中将基板再次暴露于烃处理气体。
图2D和图2E图示了根据一个或多个实施例的存储器装置200的部分剖视图。在操作312处,装置200可经受各种后处理方法。例如,参考图2D,对碳膜208进行蚀刻或平坦化,使得碳膜208与装置200的顶表面222基本上共面。碳膜208可通过本领域技术人员已知的任何合适工艺进行蚀刻或平坦化,包括但不限于化学机械抛光(CMP)、湿法蚀刻、基于等离子体的溅射蚀刻、化学蚀刻、
Figure BDA0004182175840000121
蚀刻、反应离子蚀刻(RIE)、高密度等离子体(HDP)蚀刻等。在一些实施例中,蚀刻碳膜208的步骤包括将碳膜208暴露于包含NF3、CL2、HBR、C4F6、C2F4、H2、Ar、He或N2中的一个或多个的蚀刻化学物。在一个或多个实施例中,碳膜208通过化学机械抛光(CMP)平坦化。
参考图2E,在顶表面222和碳膜208的顶表面上沉积膜232。在一个或多个实施例中,膜232可以由任何合适的材料组成。在一些实施例中,膜232包括氮化硅(SiN)或氧化硅(SiOX)中的一个或多个。在一个或多个实施例中,膜232通过原子层沉积或等离子体增强化学气相沉积(PECVD)形成。在一个或多个实施例中,膜232覆盖间隙填充碳膜208,并在退火期间减少底层间隙填充碳膜208的收缩。在一个或多个实施例中,膜232可在退火后移除。
根据一个或多个实施例,装置200在形成层之前和/或之后经受处理。此处理可在相同腔室中或一个或多个单独的处理腔室中执行。
一个或多个实施例的方法可在HDP-CVD反应器中实施。此类反应器可采用多种不同形式。通常,设备将包括一个或多个腔室或“反应器”(有时包括多个站),所述一个或多个腔室或“反应器”容纳一个或多个基板且适合基板处理。每个腔室可容纳一个或多个基板进行处理。一个或多个腔室将基板保持在一个或多个限定位置(在此位置内有或没有运动,例如旋转、振动或其他搅动)。在工艺中,每个基板都由基座、真空卡盘和/或静电卡盘固定到位。对于要加热基板的某些操作,设备可包括加热器,诸如加热板。合适的反应器示例为可从加利福尼亚州圣克拉拉市的应用材料公司获得的CENTURA
Figure BDA0004182175840000131
HDP-CVD腔室/系统。
结合下面的图4A和图4B提供HDP-CVD腔室/系统的概述。图4A示意性地图示了一个实施例中的此类HDP-CVD系统610的结构。系统610包括腔室613、真空系统670、源等离子体系统680A、基板偏置等离子体系统680B、气体输送系统633和远程等离子体清洗系统650。
腔室613的上部包括圆顶614,圆顶614由陶瓷介电材料(诸如氧化铝或氮化铝)制成。圆顶614限定等离子体处理区域616的上边界。等离子体处理区域616在底部由基板617的上表面和基板支撑构件618限定。
加热板623和冷却板624位于圆顶614上方,并与圆顶614热耦合。加热板623和冷却板624允许将圆顶温度控制在约400℃至约650℃的范围内的约±10℃内。这允许优化各种工艺的圆顶温度。例如,与沉积工艺相比,在清洗或蚀刻工艺中期望将圆顶维持在更高的温度。对圆顶温度的精确控制还减少了腔室中的碎片或颗粒数量,并提高沉积层与基板之间的附着力。
腔室613的下部包括主体构件622,主体构件622将腔室连接至真空系统。基板支撑构件618的底部621安装在主体构件622上,并与主体构件622形成连续的内表面。基板通过机器人叶片(未图示)穿过腔室613侧面的插入/移除开口(未图示)来移入和移出腔室613。升降杆(未图示)在电机(也未图示)的控制下升高随后降低,以将基板从上加载位置657处的机器人叶片移动到下处理位置656,其中基板放置在基板支撑构件618的基板接收部分619上。基板接收部分619包括静电卡盘620,静电卡盘620在基板处理期间将基板固定到基板支撑构件618。在特定实施例中,基板支撑构件618由氧化铝或铝陶瓷材料制成。
真空系统670包括节气门体625,节气门体625容纳双叶节流阀626,并附接至闸阀627和涡轮分子泵628。应注意的是,节气门体625对气流的阻力最小,并允许对称泵送。闸阀627可将泵628与节气门体625隔离,还可通过在节流阀626完全打开时,限制排气流量来控制腔室压力。节流阀、闸阀和涡轮分子泵的布置允许对高达约1毫托至约2托的腔室压力的精确和稳定的控制。
源等离子体系统680A包括安装在圆顶614上的顶部线圈629和侧线圈630。对称接地屏蔽件(未图示)减少了线圈之间的电耦合。顶部线圈629由顶部源RF(SRF)发生器631A供电,而侧线圈630由侧SRF发生器631B供电,从而允许每个线圈的独立功率水平和工作频率。这种双线圈系统允许控制腔室613中的径向离子密度,从而改善等离子体均匀性。侧线圈630和顶部线圈629通常为感应驱动的,这不需要辅助电极。在特定实施例中,顶部源RF发生器631A在标称2MHz下提供高达10000瓦的RF功率,侧源RF 60发生器631B在标称2MHz下提供高达10500瓦的RF功率。顶部和侧RF发生器的工作频率可偏离标称工作频率(例如,分别偏离至1.7-1.9MHz和1.9-2.1MHz),以提高等离子体生成效率。
基板偏置等离子体系统680B包括偏置RF(“BRF”)发生器631C和偏置匹配网络632C。偏置等离子体系统680B将基板部分617电容耦合到主体构件622,基板部分617和主体构件622用作互补电极。偏置等离子体系统680B用于增强源等离子体系统680A产生的等离子体物质(例如离子)到基板表面的传输。在特定实施例中,基板偏置RF发生器以约13.56MHz的频率提供高达10000瓦的RF功率。
RF发生器631A和631B包括数字控制合成器。每个发生器包括RF控制电路(未图示),该RF控制电路测量从腔室和线圈返回到发生器的反射功率,并调整工作频率以获得最低反射功率,如本领域普通技术人员所理解。RF发生器通常设计为在特性阻抗为50欧姆的负载下工作。RF功率可从具有与发生器不同的特性阻抗的负载反射。这可能减少传输到负载的功率。此外,从负载反射回发生器的功率可能会过载并损坏发生器。由于等离子体的阻抗可能在小于5欧姆到大于900欧姆的范围内,这取决于等离子体离子密度等因素,而且反射功率可能为频率的函数,根据反射功率调整发生器频率可增加从RF发生器传输到等离子体的功率并保护发生器。另一种降低反射功率和提高效率的方法为使用匹配网络。
匹配网络632A和632B将发生器631A和631B的输出阻抗与其各自的线圈629和630匹配。RF控制电路可通过改变匹配网络内电容器的值来调谐两个匹配网络,以随着负载的变化使发生器与负载匹配。当从负载反射回发生器的功率超过一定限制时,RF控制电路可调谐匹配网络。提供恒定匹配并有效禁止RF控制电路调谐匹配网络的一种方法为将反射功率限制设置为高于反射功率的任何预期值。这可以通过将匹配网络在其最近的状态保持恒定而有助于在一些条件下稳定等离子体。
其他措施还可能有助于稳定等离子体。例如,RF控制电路可用于确定传送到负载(等离子体)的功率,并可增加或减少发生器输出功率,以在层沉积期间保持传送功率基本恒定。
气体输送系统633通过气体输送管线638(仅图示其中一些)将来自若干源634A至634E的气体输送至用于处理基板的腔室。如本领域技术人员所理解的,用于源634A至634E的实际源以及输送管线638到腔室613的实际连接根据在腔室613内执行的沉积和清理工艺而变化。气体穿过气环637和/或顶部喷嘴645引入腔室613。图4B为腔室613的简化部分剖视图,图示了气环637的额外细节。
在一个实施例中,第一气源634A和第二气源634B,以及第一气体流量控制器635A'和第二气体流量控制器635B'通过气体输送管线638(仅图示了其中的一些)向气环637中的环形充气增压部636提供气体。气环637具有多个源气体喷嘴639(为说明目的仅图示其中一个),多个源气体喷嘴639在基板上提供均匀的气流。可改变喷嘴长度和喷嘴角度,以允许在单个腔室内针对特定工艺修整均匀性轮廓和气体利用效率。在特定实施例中,气环637具有由氧化铝陶瓷制成的12个源气体喷嘴。
气环637还具有多个氧化剂气体喷嘴640(仅图示其中一个),在一个实施例中,多个氧化剂气体喷嘴640与源气体喷嘴639共面且短于源气体喷嘴639,并且在一个实施例中,从主体充气增压部641接收气体。在一些实施例中,期望在将气体注入腔室613之前不要混合源气体和氧化剂气体。在其他实施例中,在将气体注入腔室613之前,可通过在主体充气增压部641和气环充气增压部636之间提供孔口(未图示)来混合氧化剂气体和源气体。在一个实施例中,第三气源634C、第四气源634D和第五气源634D',以及第三气体流量控制器635C和第四气体流量控制器635D',通过气体输送管线638向主体充气增压部提供气体。附加的阀(诸如643B(未图示其他阀))可切断从流量控制器到腔室的气体。在实施本发明的某些实施例中,源634A包括烃源,源634B包括分子氢(H2)源,源634C包括氦(He)源,并且源634D包括氩(Ar)源。
在使用易燃、有毒或腐蚀性气体的实施例中,可能需要消除沉积后气体输送管线中残留的气体。例如,可使用三通阀(诸如阀643B)将腔室613与输送管线638A隔离,并将输送管线638A通风至真空前级管线644来实现这一点。如图4A所示,其他类似阀(诸如643A和643C)可结合在其他气体输送管线上。此类三通阀可尽可能靠近腔室613放置,以最小化未排气的气体输送管线(在三通阀和腔室之间)的体积。此外,双向(开关)阀(未图示)可放置在质量流量控制器(“MFC”)与腔室之间或气源与MFC之间。
再次参考图4A,腔室613还具有顶部喷嘴645和顶部通风口646。顶部喷嘴645和顶部通风口646允许独立控制气体的顶部和侧面流动,这提高了膜的均匀性,并允许微调膜的沉积和掺杂参数。顶部通风口646为顶部喷嘴645周围的环形开口。在一个实施例中,第一气源634A供应源气体喷嘴639和顶部喷嘴645。源喷嘴MFC 635A'控制输送至源气体喷嘴639的气体量,顶部喷嘴MFC 635A控制输送至顶部气体喷嘴645的气体量。类似地,两个MFC 635B和635B'可用于控制从单个氧气源(诸如源634B)流向顶部通风口646和氧化剂气体喷嘴640的氧气流量。在一些实施例中,不从任何侧喷嘴向腔室供应氧气。在气体流入腔室613之前供应至顶部喷嘴645和顶部通风口646的气体可以保持分离,或者在气体流入腔室613之前可以在顶部充气增压部648中混合气体。相同气体的单独源可用于供应腔室的不同部分。
提供产生远程微波的等离子体清洗系统650用于定期清洗腔室部件上的沉积残留物。清洗系统包括远程微波发生器651,远程微波发生器651从反应器腔体653中的清洗气源634E(例如,分子氟、三氟化氮、其他氟碳化合物或等效物)产生等离子体。从这种等离子体产生的反应性物质通过涂敷器管655通过清洗气体进料口654输送至腔室613。用于容纳清洗等离子体的材料(例如腔体653和涂敷器管655)必须能够抵抗等离子体的侵蚀。反应器腔体653和进料口654之间的距离应保持尽可能短,因为理想等离子体物质的浓度可能会随着与反应器腔体653的距离而下降。在远程腔体中产生清洗等离子体允许使用高效微波发生器,并且不会使腔室部件受到温度、辐射或辉光放电的轰击,辉光放电可能存在于原位形成的等离子体中。因此,相对敏感的部件(诸如静电卡盘620)不需要如原位等离子体清洗工艺所要求的那样用虚设晶片覆盖或以其他方式保护。在图4A中,等离子体清洗系统650布置在腔室613上方,尽管可以替代地使用其他位置。
可在靠近顶部喷嘴的位置设置挡板661,以将经由顶部喷嘴供应的源气体流引导至腔室中,并引导远程产生的等离子体流。经由顶部喷嘴645提供的源气体通过中央通道662引导至腔室中,而经由清洗气体进料口654提供的远程产生的等离子体物质通过挡板661引导至腔室613的侧面。
示例
示例1:
将具有带有存储器孔的氧/氮化物膜堆叠的基板放置在HDP CVD处理腔室中。工艺气体He/Ar/C2H2流入处理腔室。基板保持在500℃的温度、3毫托的压力下。使用RF源生成等离子体。在基板上的存储器孔中形成非晶碳(a-C)层。存储器孔中留有孔隙。基板在800℃的温度下退火1小时。碳膜经受住800℃退火,其中收缩率≥25%。
示例2:
将具有带有存储器孔的氧/氮化物膜堆叠的基板放置在HDP CVD处理腔室中。工艺气体He/Ar/C2H2流入处理腔室。基板保持在550℃的温度、10毫托的压力下。使用RF源生成等离子体。在基板上的存储器孔中形成非晶碳(a-C)层。存储器孔中留有孔隙。基板在800℃的温度下退火1小时。碳膜经受住800℃退火,其中收缩率为15.5%。
示例3:
将具有带有存储器孔的氧/氮化物膜堆叠的基板放置在HDP CVD处理腔室中。工艺气体H2/He/Ar/C2H2流入处理腔室。基板保持在592℃的温度、4.2毫托的压力下。使用RF源生成等离子体。在存储器孔的基板上形成一层非晶碳(a-C)。存储器孔中留有孔隙。基板在800℃的温度下退火1小时。碳膜经受住800℃退火,其中收缩率<10%。
就应力、间隙填充和热稳定性而言,示例3的工艺比示例1和示例2的工艺具有更多的工艺余量。示例3中形成的碳膜在800℃下退火1h后展现<10%的收缩率。
如图所示,为了便于描述,可在本文中使用诸如“之下”、“下方”、“下部”、“上方”、“上部”等空间相对术语来描述一个元件或特征与另一个(或多个)元件或特征的关系。应理解,除附图中描绘的取向外,空间相对术语旨在包含正在使用或操作的装置的不同取向。例如,如果附图中的装置被翻转,则被描述为“低于其他元件或特征”或“在其他元件或特征下方”的元件将被定向为“高于其他元件或特征”。因此,示例性术语“低于”可以包括高于和低于两者的取向。装置可以以其他方式定向(旋转90度或在其他取向)并且本文中使用的空间相对描述词可相应地解释。
在描述本文所讨论的材料和方法的上下文中(尤其在所附权利要求书的上下文中)使用术语“一(a)”和“一个(an)”以及“所述”和类似称谓应被解释为包括单数和复数两者,除非本文中另有说明或与明显上下文矛盾。除非本文另有说明,否则本文中对数值范围的叙述仅旨在作为单独引用落在范围内的每个单独数值的简写方法,并且每个单独数值并入本说明书中,如同其在本文中单独叙述一样。除非本文另有说明或上下文另有明显矛盾,否则本文所述的所有方法可按任何适当顺序执行。使用本文提供的任何和所有示例或示例性语言(例如,“诸如”)仅旨在更好地说明材料和方法,并且不构成对范围的限制,除非另有声明。说明书中的任何语言都不应被解释为表明任何未主张保护的元件对于所公开的材料和方法的实践至关重要。
贯穿本说明书对“一个实施例”、“某些实施例”、“一个或多个实施例”或“实施例”的引用意味着结合实施例描述的特定特征、结构、材料或特性被包括在本公开的至少一个实施例中。因此,在本说明书的各个地方出现的诸如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在实施例中”之类的短语不一定指本公开的同一实施例。在一个或多个实施例中,以任何合适的方式组合特定特征、结构、材料或特性。
尽管已经参考特定实施例描述了本文公开内容,但应理解,这些实施例仅说明本公开的原理和应用。对于本领域技术人员将显而易见的是,在不脱离本公开的精神和范围的情况下,可对本公开的方法和设备进行各种修改和变化。因此,本公开旨在覆盖落入所附权利要求书及其等同物的范围内的修改和变化。

Claims (20)

1.一种形成膜的方法,所述方法包括以下步骤:
使工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室,所述腔室容纳具有至少一个特征的基板,所述工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一者或多者,所述基板在约400℃至约650℃的范围内的温度和小于约50毫托的压力下处理;
生成等离子体;以及
在所述至少一个特征中沉积碳膜,所述碳膜在所述至少一个特征中具有孔隙。
2.如权利要求1所述的方法,其中所述烃反应物包含烯烃和炔烃中的一者或多者。
3.如权利要求2所述的方法,其中所述烃反应物选自由以下各项组成的群组:乙炔(C2H2)、丙烯(C3H6)、乙烯(C2H4)、以及甲基乙炔(C3H4)。
4.如权利要求1所述的方法,其中所述碳膜包含非晶碳(a-C)膜。
5.如权利要求1所述的方法,其中所述至少一个特征选自沟槽、通孔、字线狭缝和存储器孔中的一者或多者。
6.如权利要求5所述的方法,其中所述至少一个特征具有大于或等于约50:1的深宽比。
7.如权利要求5所述的方法,其中所述至少一个特征从所述基板的顶表面延伸特征深度至底表面,并具有由第一侧壁和第二侧壁限定的宽度,并且其中所述碳膜沉积在所述顶表面、所述第一侧壁、所述第二侧壁、以及所述底表面上,并且所述孔隙位于所述至少一个特征的所述宽度内与所述特征的所述底表面相距第一距离处。
8.一种形成膜的方法,所述方法包括以下步骤:
使工艺气体流入高密度等离子体化学气相沉积(HDP-CVD)腔室,所述腔室容纳具有基板表面的基板,所述工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一者或多者;
生成等离子体;以及
在所述基板表面上沉积碳膜,所述基板表面上具有至少一个特征,所述至少一个特征从所述基板表面延伸一深度至底表面,所述至少一个特征具有由第一侧壁和第二侧壁限定的宽度,其中所述碳膜沉积在所述基板表面、所述至少一个特征的所述第一侧壁、所述第二侧壁和所述底表面上,所述碳膜具有孔隙,所述孔隙位于所述特征的所述宽度内与所述特征的所述底表面相距第一距离处。
9.如权利要求8所述的方法,进一步包括蚀刻或平坦化所述碳膜,使得所述碳膜基本上与所述基板表面共面,以及在所述基板表面和所述碳膜的顶表面上沉积第二膜,所述第二膜包含氮化硅(SiN)或氧化硅(SiOX)中的一者或多者。
10.如权利要求8所述的方法,其中所述基板在约400℃至约640℃的范围内的温度和小于约50毫托的压力下处理。
11.如权利要求8所述的方法,其中所述烃反应物选自由以下各项组成的群组:乙炔(C2H2)、丙烯(C3H6)、乙烯(C2H4)、以及甲基乙炔(C3H4)。
12.如权利要求8所述的方法,其中所述碳膜包含非晶碳(a-C)膜。
13.如权利要求9所述的方法,进一步包括对所述基板进行退火,其中所述第二膜减少了在退火期间碳膜的收缩。
14.如权利要求8所述的方法,其中所述特征具有大于或等于约10:1的深宽比。
15.如权利要求8所述的方法,其中所述至少一个特征的所述深度在约50nm至约10000nm的范围内。
16.一种制造存储器装置的方法,所述方法包括以下步骤:
在基板上形成膜堆叠,所述膜堆叠包括第一材料和第二材料的多个交替层,并且所述膜堆叠具有堆叠厚度;
蚀刻所述膜堆叠以形成存储器孔开口,所述存储器孔开口从所述膜堆叠的顶表面延伸一深度至底表面,所述存储器孔开口具有由第一侧壁和第二侧壁限定的宽度;
将所述基板装入高密度等离子体化学气相沉积(HDP-CVD)腔室;
使工艺气体流入所述高密度等离子体化学气相沉积(HDP-CVD)腔室,所述工艺气体包含氢碳比(H:C)小于或等于2:1的烃反应物,以及氢(H2)、氦(He)和氩(Ar)中的一者或多者,所述膜堆叠在约400℃至约650℃的范围内的温度和小于约50毫托的压力下;以及
将碳膜沉积在所述膜堆叠的所述顶表面上,以及所述存储器孔开口的所述第一侧壁、所述第二侧壁和所述底表面上,所述碳膜具有孔隙,所述孔隙位于所述存储器孔的所述宽度内与所述存储器孔开口的所述底表面相距第一距离处。
17.如权利要求16所述的方法,进一步包括蚀刻或平坦化所述碳膜,使得所述碳膜基本上与所述基板表面共面,以及在所述基板表面和所述碳膜的顶表面上沉积第二膜。
18.如权利要求16所述的方法,其中所述烃反应物选自由以下各项组成的群组:乙炔(C2H2)、丙烯(C3H6)、乙烯(C2H4)、以及甲基乙炔(C3H4)。
19.如权利要求17所述的方法,其中所述第二膜包含氮化硅(SiN)或氧化硅(SiOX)中的一者或多者。
20.如权利要求16所述的方法,其中所述第一材料和所述第二材料独立地包含氧化物材料、氮化物材料和多晶硅材料中的一者或多者。
CN202180071054.5A 2020-10-26 2021-10-15 Hdp牺牲碳间隙填充 Pending CN116348999A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/079,630 US11655537B2 (en) 2020-10-26 2020-10-26 HDP sacrificial carbon gapfill
US17/079,630 2020-10-26
PCT/US2021/055125 WO2022093546A1 (en) 2020-10-26 2021-10-15 Hdp sacrificial carbon gapfill

Publications (1)

Publication Number Publication Date
CN116348999A true CN116348999A (zh) 2023-06-27

Family

ID=81258043

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180071054.5A Pending CN116348999A (zh) 2020-10-26 2021-10-15 Hdp牺牲碳间隙填充

Country Status (6)

Country Link
US (1) US11655537B2 (zh)
JP (1) JP2023546967A (zh)
KR (1) KR20230096041A (zh)
CN (1) CN116348999A (zh)
TW (1) TW202223137A (zh)
WO (1) WO2022093546A1 (zh)

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6559052B2 (en) 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6759297B1 (en) * 2003-02-28 2004-07-06 Union Semiconductor Technology Corporatin Low temperature deposition of dielectric materials in magnetoresistive random access memory devices
US20070264819A1 (en) 2005-10-07 2007-11-15 Dirk Offenberg Method of forming an electrical isolation associated with a wiring level on a semiconductor wafer
CN101079391B (zh) 2006-05-26 2012-01-25 中芯国际集成电路制造(上海)有限公司 用于半导体器件的具有高间隙填充能力的方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7704897B2 (en) 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9023719B2 (en) * 2013-09-17 2015-05-05 Sandisk Technologies Inc. High aspect ratio memory hole channel contact formation
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10381366B1 (en) 2018-02-17 2019-08-13 Sandisk Technologies Llc Air gap three-dimensional cross rail memory device and method of making thereof

Also Published As

Publication number Publication date
KR20230096041A (ko) 2023-06-29
TW202223137A (zh) 2022-06-16
US11655537B2 (en) 2023-05-23
WO2022093546A1 (en) 2022-05-05
US20220127718A1 (en) 2022-04-28
JP2023546967A (ja) 2023-11-08

Similar Documents

Publication Publication Date Title
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US6908862B2 (en) HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7097886B2 (en) Deposition process for high aspect ratio trenches
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7524750B2 (en) Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US20140187045A1 (en) Silicon nitride gapfill implementing high density plasma
TWI397960B (zh) 用於減少高密度電漿製程中之蝕刻速率漂移的方法
WO2007001878A2 (en) Gapfill using deposition-etch sequence
EP2034044A2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
KR20210043746A (ko) 유전체 재료를 증착하기 위한 방법들
KR20220059967A (ko) 유전체 재료를 증착하기 위한 방법들 및 장치
WO2012177789A2 (en) Integrated process modulation for psg gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process
US11655537B2 (en) HDP sacrificial carbon gapfill
US20220351969A1 (en) Methods and apparatus for curing dielectric material
US20240090213A1 (en) Sacrificial layer for forming merged high aspect ratio contacts in 3d nand memory device
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
TW202420957A (zh) 用於在3d nand記憶體裝置中形成合併高深寬比接觸件的犧牲層
CN116457495A (zh) 用于间隙填充的非晶碳

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination