KR20220059967A - 유전체 재료를 증착하기 위한 방법들 및 장치 - Google Patents

유전체 재료를 증착하기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20220059967A
KR20220059967A KR1020227012890A KR20227012890A KR20220059967A KR 20220059967 A KR20220059967 A KR 20220059967A KR 1020227012890 A KR1020227012890 A KR 1020227012890A KR 20227012890 A KR20227012890 A KR 20227012890A KR 20220059967 A KR20220059967 A KR 20220059967A
Authority
KR
South Korea
Prior art keywords
dielectric material
forming
remote plasma
material layer
gas mixture
Prior art date
Application number
KR1020227012890A
Other languages
English (en)
Inventor
바르가브 에스. 시틀라
제트로 타노스
스리니바스 디. 네마니
조슈아 루브니츠
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220059967A publication Critical patent/KR20220059967A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유전체 재료를 증착하기 위한 방법들 및 장치는, 프로세싱 챔버 내로 제1 가스 혼합물을 제공하는 것; 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마를 형성하고 프로세싱 챔버에 제1 라디칼들을 전달하여, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하는 것; 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제1 바이어스 플라즈마를 형성하는 것; 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 것; 및 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 인가하여 제2 바이어스 플라즈마를 형성하는 것을 포함하며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다.

Description

유전체 재료를 증착하기 위한 방법들 및 장치
[0001] 본 개시내용의 실시예들은 일반적으로, 유전체 재료를 증착하고 유전체 재료의 소수성을 변경하기 위한 방법들 및 장치에 관한 것이다.
[0002] 서브-하프 미크론(sub-half micron) 이하의 피처(feature)들을 신뢰성 있게 생성하는 것은 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large-scale integration)에 대한 핵심 기술 난제들 중 하나이다. 그러나, 회로 기술이 한계들에 가까워짐에 따라, VLSI 및 ULSI 인터커넥트 기술의 축소되는 치수들은 프로세싱 능력들에 대한 추가적인 요구들을 제기하였다. 기판 상의 게이트 구조들의 신뢰성 있는 형성은 VLSI 및 ULSI 성공에, 그리고 개별적인 기판들 및 다이의 품질 및 회로 밀도를 증가시키기 위한 지속적인 노력에 중요하다.
[0003] 차세대 디바이스들 및 구조들의 제작을 가능하게 하기 위해서, 반도체 칩들의 3D(three dimensional) 적층이 대개 트랜지스터들의 성능을 개선하기 위해 활용된다. 종래의 2차원 대신에 3차원으로 트랜지스터들을 배열함으로써, 다수의 트랜지스터들이 서로 매우 가깝게 IC(integrated circuit)들에 배치될 수 있다. 반도체 칩들의 3D(three dimensional) 적층은 와이어 길이들을 감소시키고 배선 지연을 낮게 유지한다. 트렌치들과 같은 피처들의 폭이 계속 축소됨에 따라, 반도체 칩들의 적층을 위해 종횡비(깊이를 폭으로 나눈 값)가 계속 증가한다. 트렌치들과 같은 고 종횡비 피처들의 제조에 관한 하나의 난제는 트렌치들 내의 유전체 재료의 증착 동안 공극들 또는 시임(seam)들의 형성을 회피하는 것이다.
[0004] 트렌치를 충전하기 위해, 실리콘 옥사이드와 같은 유전체 재료의 층이 증착된다. 유전체 층은 통상적으로, 필드뿐만 아니라 트렌치와 같은 피처의 벽들 및 최하부를 커버한다. 피처가 넓고 얕다면, 피처를 완전히 충전하는 것은 어렵지 않다. 그러나, 피처 종횡비가 증가함에 따라, 트렌치의 개구가 "핀치 오프(pinch off)"되어서 피처 내에 공극 또는 결함들이 형성될 가능성이 증가한다. 본 발명자들은, 공극들 또는 시임들이 반도체 제품들의 수율을 감소시킬 수 있고, 후속하여 증착되는 금속이 내부의 공극 또는 시임을 충전하면 유전체 재료의 유전 상수를 변경할 수 있다는 것을 관찰하였다.
[0005] 트렌치 내에 시임들을 형성하는 것과 같이 피처 내에 공극 또는 결함을 형성할 가능성을 감소시키기 위해, 최소 결함들로 피처를 유전체 재료들로 충전하도록 많은 상이한 프로세스 기법들이 개발되었다. 증착 프로세스 동안의 불량한 프로세스 제어는 트렌치와 같은 피처의 조기 폐쇄 또는 불규칙한 구조 프로파일들을 초래하여서, 유전체 재료들로 트렌치를 충전하면서 트렌치에 공극들 또는 에어 갭을 초래할 것이다.
[0006] FCVD(flowable chemical vapor deposition)는 공극 또는 결함 형성을 회피하면서 트렌치와 같은 피처를 최하부로부터 위로 충전하기 위한 하나의 방법이다. 그러나, 본 발명자들은, FCVD 방법들로 형성되는 유전체 재료가 불안정할 수 있고, 유전체 막의 전체적인 안정성 및 품질을 개선하지 않아서, 증가된 디바이스 저항 및 불량한 수율을 초래할 수 있다는 것을 관찰하였다. 예컨대, 본 발명자들은, 증착되는 유동성 막 재료를 고밀화하기 위해 바이어스 플라즈마 처리가 이용될 수 있지만, 방법들은 바이어스 플라즈마 처리로 형성되는 막의 유동성을 문제가 있게 낮춰서, 등각적 증착물(deposit)들 그리고 문제가 있는 공극 또는 시임 형성으로 이어질 수 있다는 것을 관찰하였다. 본 발명자들은 또한, 유동성 막 재료의 바이어스 플라즈마 처리가 증착되는 유동성 막의 소수성을 감소시킬 수 있다는 것을 관찰하였다.
[0007] 이에 따라서, 본 발명자들은 FCVD 방법들로 형성되는 재료를 개선하기에 적절한 개선된 방법들 및 장치를 제공하였다.
[0008] 유전체 재료들을 증착하기 위한 방법들 및 장치가 본원에서 제공된다. 일부 실시예들에서, 유전체 재료를 증착하는 방법은, (a) 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물을 제공하는 단계; (b) 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마를 형성하고 프로세싱 챔버 내의 내부 프로세싱 구역에 제1 라디칼들을 전달하여, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하는 단계; (c) 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제1 바이어스 플라즈마를 형성하는 단계; (d) 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계; 및 (e) 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제2 바이어스 플라즈마를 형성하는 단계를 포함하며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다.
[0009] 일부 실시예들에서, 유전체 재료를 형성하기 위한 방법은, 기판이 배치되어 있는 프로세싱 챔버의 내부 프로세싱 구역에 제1 원격 플라즈마, 제1 바이어스 플라즈마 및 제2 원격 플라즈마를 제2 바이어스 플라즈마와 함께 순차적으로 적용하여 형성된 유전체 재료로, 기판 상의 5를 초과하는 종횡비를 갖는 개구를 충전하는 단계; 및 적어도, 유전체 재료의 유동성 또는 소수성을 증가시키는 단계를 포함한다.
[0010] 일부 실시예들에서, 본 개시내용은, 프로세서에 의해 실행될 때, 방법이 수행되게 하는 명령들이 저장되어 있는 비-일시적 컴퓨터 판독가능 저장 매체에 관한 것으로, 방법은, (a) 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물을 제공하는 단계; (b) 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마를 형성하고 프로세싱 챔버 내의 내부 프로세싱 구역에 제1 라디칼들을 전달하여, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하는 단계; (c) 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제1 바이어스 플라즈마를 형성하는 단계; (d) 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계; 및 (e) 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제2 바이어스 플라즈마를 형성하는 단계를 포함하며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다.
[0011] 본 개시내용의 다른 그리고 추가적인 실시예들이 아래에서 설명된다.
[0012] 위에서 간략히 요약되고 아래에서 더 상세히 논의되는 본 개시내용의 실시예들은 첨부된 도면들에 도시된 본 개시내용의 예시적인 실시예들을 참조로 하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 실시예들을 예시하므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0013] 도 1은 본 개시내용의 일부 실시예들에 따른, 증착 프로세스를 수행하기 위한 장치의 개략적인 측단면도를 도시하고;
[0014] 도 2는 도 1의 장치를 포함하는 프로세싱 툴의 일 실시예의 상부 평면도를 도시하고;
[0015] 도 3은 본 개시내용의 일 실시예를 포함하는, 유전체 재료를 형성하기 위한 방법을 예시하는 프로세스 흐름도이고;
[0016] 도 4a 및 도 4b는 본 개시내용의 일부 실시예들에 따른, 고 종횡비 개구를 충전하는 스테이지들을 도시하며; 그리고
[0017] 도 5는 본 개시내용의 유전체 재료를 형성하기 위한 다른 방법을 예시하는 프로세스 흐름도이다.
[0018] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 그려지지 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다.
[0019] 본 개시내용은 반도체 디바이스들, 특히, 반도체 칩들의 3D(three dimensional) 적층을 위해 고 종횡비를 갖는 트렌치와 같은 하나 이상의 피처들에 유전체 재료를 증착하기 위한 장치들 및 방법들에 관한 것이다. 일부 실시예들에서, 증착 프로세스는, 기판이 배치되는 기판 지지 조립체에 공급되는 원격 플라즈마, RF 바이어스 전력, 및 원격 플라즈마와 RF 바이어스 전력의 조합을 순차적으로 사용하여, 증착된 재료를 처리하고 재료의 유동성 및/또는 재료의 소수성을 유지하거나 또는 증가시켜 유리하게는 공극 또는 시임 형성을 감소시키거나 또는 제거할 수 있다. 일부 실시예들에서, 기판으로부터 트렌치와 같은 피처들을 충전하는 유전체 재료에 대한 우수한 갭 충전 능력을 제공하기 위해, 원격 플라즈마, RF 바이어스 전력, 및 원격 플라즈마와 RF 바이어스 전력의 조합이 프로세싱 챔버의 내부 프로세싱 구역에 순차적으로 그리고 주기적으로 공급된다. 일부 실시예들에서, 유전체 재료의 하나 이상의 특성들, 이를테면, 밀도, 유동성 및/또는 소수성을 변형시키기 위해, 유전체 재료는 제1 원격 플라즈마, 제1 RF 바이어스 전력, 및 제2 원격 플라즈마와 제2 RF 바이어스 전력의 조합으로 처리된다. 일부 실시예들에서, 유전체 재료가 물과 접촉할 때 90도 내지 110도의 접촉각을 갖도록 유전체 층 재료의 소수성을 유지하거나 또는 증가시키는 것은 유전체 재료의 유동성을 유지하여서, 최하부로부터 위로의 갭 충전을 개선하여 유리하게는 디바이스 수율을 증가시키고 증착된 재료의 유전 상수를 유지한다.
[0020] 이에 따라서, 본 개시내용은 반도체 디바이스들, 특히, 반도체 칩들의 3D(three dimensional) 적층을 위한 트렌치 또는 비아와 같은 피처의 유전체 재료 충전을 위한 우수한 갭 충전 능력을 제공하기 위해 이러한 피처에 유전체 재료를 증착하는 것을 포함한다. 도 1은 본 개시내용에 따른, 반도체 애플리케이션들을 위한 유전체 재료를 증착할 수 있는 증착 프로세스를 수행하기 위한 증착 프로세싱 챔버(100)의 단면도이다. 본원에서 사용하기 위해 구성될 수 있는 비-제한적인 적절한 프로세싱 챔버들은 예컨대 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수가능한 HDP-PRODUCER® 또는 C3® 프로세싱 챔버를 포함한다. 다른 제조자들로부터 입수가능한 챔버들을 포함하는 다른 프로세스 챔버들이 또한, 본원에서 제공되는 교시들과 관련하여 적절하게 사용될 수 있다.
[0021] 도 1을 참조하면, 프로세싱 챔버(100)는 프로세싱 챔버 바디(102) 및 프로세싱 챔버 바디(102)에 커플링되는 원격 플라즈마 소스(104)를 포함한다. 원격 플라즈마 소스(104)는 라디칼들을 생성할 수 있는 임의의 적절한 소스일 수 있다. 원격 플라즈마 소스(104)는 RF(radio frequency) 또는 VHRF(very high radio frequency) CCP(capacitively coupled plasma) 소스, ICP(inductively coupled plasma) 소스, MW(microwave induced) 플라즈마 소스, ECR(electron cyclotron resonance) 챔버 또는 HDP(high density plasma) 챔버와 같은 원격 플라즈마 소스일 수 있다. 일부 실시예들에서, 원격 플라즈마 소스(104)는 하나 이상의 가스 소스들(106)을 포함할 수 있고, 원격 플라즈마 소스(104)는 라디칼 도관(108)에 의해 프로세싱 챔버(100)에 커플링될 수 있다. 라디칼-형성 가스들일 수 있는 하나 이상의 프로세스 가스들은 하나 이상의 가스 소스들(106)을 통해 원격 플라즈마 소스(104)에 진입할 수 있다. 하나 이상의 프로세스 가스들은 염소 함유 가스, 불소 함유 가스, 불활성 가스, 산소 함유 가스, 질소 함유 가스, 수소 함유 가스, 또는 이들의 임의의 조합을 포함할 수 있다. 일부 실시예들에서, 프로세스 가스들은 암모니아(NH3), 질소(N2), 수소(H2), 및 이들의 조합들로부터 선택되는 플라즈마 가스를 포함한다. 원격 플라즈마 소스(104)에서 생성된 라디칼들은 프로세싱 챔버(100)에 커플링되는 라디칼 도관(108)을 통해 프로세싱 챔버(100) 내로 이동하여서, 프로세싱 챔버(100)에 정의된 내부 프로세싱 구역(151)에 도달한다.
[0022] 일부 실시예들에서, 라디칼 도관(108)은 덮개 조립체(112)의 일부이며, 덮개 조립체(112)는 또한, 라디칼 캐비티(110), 최상부 플레이트(114), 덮개 림(116) 및 샤워헤드(118)를 포함한다. 라디칼 도관(108)은 라디칼들에 대해 실질적으로 비반응성인 재료를 포함할 수 있다. 예컨대, 라디칼 도관(108)은 알루미늄 나이트라이드(AIN), 실리콘 옥사이드(SiO2), 이트륨 옥사이드(Y2O3), 마그네슘 옥사이드(MgO), 양극처리된 Al2O3, 사파이어, 세라믹들 ―세라믹들은 Al2O3, 사파이어, 알루미늄 나이트라이드(AIN), 이트륨 옥사이드(Y2O3), 마그네슘 옥사이드(MgO) 중 하나 이상을 함유함―, 또는 플라스틱들을 포함할 수 있다. 적절한 실리콘 옥사이드(SiO2) 재료의 대표적인 예는 석영이다. 라디칼 도관(108)은 라디칼 도관 지지 부재(120) 내에 배치되고 라디칼 도관 지지 부재(120)에 의해 지지될 수 있다. 라디칼 도관 지지 부재(120)는 덮개 림(116) 상에 놓이는 최상부 플레이트(114) 상에 배치될 수 있다.
[0023] 일부 실시예들에서, 라디칼 캐비티(110)는 라디칼 도관(108) 아래에 포지셔닝되고 라디칼 도관(108)에 커플링되며, 원격 플라즈마 소스(104)에서 생성된 라디칼들은 라디칼 도관(108)을 통해 라디칼 캐비티(110)로 이동한다. 라디칼 캐비티(110)는 최상부 플레이트(114), 덮개 림(116) 및 샤워헤드(118)에 의해 정의된다. 선택적으로, 라디칼 캐비티(110)는 라이너(122)를 포함할 수 있다. 라이너(122)는 라디칼 캐비티(110)에 노출되는 최상부 플레이트(114) 및 리드 림(116)의 표면들을 커버할 수 있다. 원격 플라즈마 소스(104)로부터의 라디칼들은 샤워헤드(118)에 배치된 복수의 튜브들(124)을 통과하여 내부 프로세싱 구역(151)에 진입한다. 샤워헤드(118)는 복수의 튜브들(124)보다 직경이 더 작은 복수의 개구들(126)을 더 포함한다. 복수의 개구들(126)은 복수의 튜브들(124)과 유체 연통하지 않는 내부 볼륨(도시되지 않음)에 연결된다. 프로세싱 챔버(100)의 내부 프로세싱 구역(151) 내로 유체 혼합물을 도입하기 위해 하나 이상의 유체 소스들(119)이 샤워헤드(118)에 커플링될 수 있다. 유체 혼합물은 전구체 및/또는 캐리어 유체들을 포함할 수 있다. 유체 혼합물은 가스들과 액체들의 혼합물일 수 있다. 실시예들에서, 적절한 전구체들의 비-제한적인 예들은 트리실릴아민(TSA)과 같은, 실리콘 나이트라이드(SiNx) 막들을 형성하는 데 적절한 전구체들을 포함한다.
[0024] 프로세싱 챔버(100)는 덮개 조립체(112), 챔버 바디(130) 및 기판 지지 조립체(132)를 포함할 수 있다. 기판 지지 조립체(132)는 챔버 바디(130) 내에 적어도 부분적으로 배치될 수 있다. 챔버 바디(130)는 프로세싱 챔버(100)의 내부로의 액세스를 제공하기 위한 슬릿 밸브(135)를 포함할 수 있다. 챔버 바디(130)는 챔버 바디(130)의 내부 표면들을 커버하는 라이너(134)를 포함할 수 있다. 라이너(134)는 진공 시스템(140)과 유체 연통하는, 라이너(134) 내부에 형성된 펌핑 채널(138) 및 하나 이상의 애퍼처들(136)을 포함할 수 있다. 애퍼처들(136)은 펌핑 채널(138) 내로의 가스들을 위한 유동 경로를 제공하며, 이는 프로세싱 챔버(100) 내의 가스들에 대한 출구를 제공한다.
[0025] 진공 시스템(140)은 진공 포트(142), 밸브(144) 및 진공 펌프(146)를 포함할 수 있다. 진공 펌프(146)는 진공 포트(142)를 통해 펌핑 채널(138)과 유체 연통한다. 애퍼처들(136)은 펌핑 채널(138)이 챔버 바디(130) 내의 내부 프로세싱 구역(151)과 유체 연통할 수 있게 한다. 내부 프로세싱 구역(151)은 샤워헤드(118)의 하부 표면(148) 및 기판 지지 조립체(132)의 상부 표면에 의해 정의되고, 내부 프로세싱 구역은 라이너(134)에 의해 둘러싸인다.
[0026] 기판 지지 조립체(132)는 챔버 바디(130) 내에서의 프로세싱을 위해 기판(도시되지 않음)을 지지하기 위한 기판 지지 부재(152)를 포함할 수 있다. 기판은 임의의 표준 웨이퍼 사이즈, 이를테면, 예컨대, 300 mm일 수 있다. 대안적으로, 기판은 300 mm보다 더 클 수 있는데, 이를테면, 450 mm 이상일 수 있다. 기판 지지 부재(152)는 동작 온도에 따라 알루미늄 나이트라이드(AIN) 또는 알루미늄을 포함할 수 있다. 기판 지지 부재(152)는 기판을 기판 지지 부재(152)에 척킹하도록 구성될 수 있다. 예컨대, 기판 지지 부재(152)는 정전 척 또는 진공 척일 수 있다.
[0027] 기판 지지 부재(152)는, 챔버 바디(130)의 최하부 표면에 형성된 중심에 로케이팅된(centrally-located) 개구(158)를 통해 연장되는 샤프트(156)를 통해 리프트 메커니즘(154)에 커플링될 수 있다. 리프트 메커니즘(154)은 샤프트(156) 주위로부터의 진공 누설을 방지하는 벨로우즈(160)에 의해 챔버 바디(130)에 유연하게 밀봉될 수 있다. 리프트 메커니즘(154)은 기판 지지 부재(152)가 챔버 바디(130) 내에서 프로세스 포지션과 하부 이송 포지션 사이에서 수직으로 이동될 수 있게 한다. 이송 포지션은 슬릿 밸브(135)의 개구보다 약간 아래에 있다. 동작 동안, 기판 표면에서의 라디칼 플럭스를 최대화하기 위해서 기판(301)과 샤워헤드(118) 사이의 간격이 최소화될 수 있다. 예컨대, 간격은 약 100 mm 내지 약 5,000 mm일 수 있다. 리프트 메커니즘(154)은 샤프트(156)를 회전시킬 수 있고, 이는 결국 기판 지지 부재(152)를 회전시켜서, 기판 지지 부재(152) 상에 배치된 기판이 동작 동안 회전되게 한다.
[0028] 하나 이상의 가열 엘리먼트들(162) 및 냉각 채널(164)이 기판 지지 부재(152)에 내장될 수 있다. 가열 엘리먼트들(162) 및 냉각 채널(164)은 동작 동안 기판의 온도를 제어하기 위해 사용될 수 있다. 가열 엘리먼트들(162)은 임의의 적절한 가열 엘리먼트들, 이를테면, 하나 이상의 저항성 가열 엘리먼트들일 수 있다. 가열 엘리먼트들(162)은 하나 이상의 전력 소스들(도시되지 않음)에 연결될 수 있다. 가열 엘리먼트들(162)은 멀티-존 가열 또는 냉각에 대한 독립적인 가열 및/또는 냉각 제어를 갖도록 개별적으로 제어될 수 있다. 멀티-존 가열 및 냉각에 대한 독립적인 제어를 갖는 능력으로, 기판 온도 프로파일은 임의의 제공 프로세스 조건들에서 향상될 수 있다. 냉각제가 기판을 냉각시키기 위해 냉각 채널(164)을 통해 유동할 수 있다. 기판 지지 부재(152)는 기판의 후면으로 냉각 가스를 유동시키기 위해 상부 표면(150)으로 연장되는 가스 통로들을 더 포함할 수 있다.
[0029] RF 소스 전력(143)이 RF 소스 전력 매칭 박스(147)를 통해 샤워헤드(118)에 커플링될 수 있다. RF 소스 전력(143)은 저주파, 고주파 또는 초단파일 수 있다. 일 실시예에서, RF 소스 전력(143)은 고밀도 막 층들을 증착하기 위해 고밀도 플라즈마를 생성할 수 있는 고주파 RF 생성기이다. 일 예에서, RF 소스 전력(143)은, 기판 지지 부재(152) 위의 내부 프로세싱 구역(351)에서 생성되는 ICP(inductive coupled plasma)를 생성 및 제어할 수 있는 유도 결합 RF 에너지 송신 디바이스 역할을 할 수 있다. RF 소스 전력 매칭 박스(147)로부터의 동적 임피던스 매칭은 ICP(inductive coupled plasma)를 생성할 때 제공될 수 있다.
[0030] RF 소스 전력(143)에 부가하여, RF 바이어스 전력 소스(145)가 기판 지지 부재(152)에 커플링될 수 있다. 기판 지지 부재(152)는 캐소드로서 구성되고, RF 바이어스 전력 소스(145)에 커플링되는 전극(163)을 포함한다. RF 바이어스 전력 소스(145)는 기판 지지 부재(152)에 배치된 전극(163)과 다른 전극, 이를테면, 챔버 바디(130)의 천장(이를테면, 최상부 플레이트(114)) 또는 샤워헤드(118) 사이에 커플링된다. RF 바이어스 전력 소스(145)로부터 생성된 RF 바이어스 전력은 챔버 바디(130)의 내부 프로세싱 구역(151)에 배치된 가스들로부터 형성되는 플라즈마 방전을 여기시키고 유지한다.
[0031] 하나의 동작 모드에서, 기판(301)은 프로세싱 챔버(100)에서 기판 지지 부재(152) 상에 배치된다. 프로세스 가스 및/또는 가스 혼합물은 가스 소스들(106)로부터 샤워헤드(118)를 통해 챔버 바디(130) 내로 도입된다. 진공 펌프(146)는 증착 부산물들을 제거하면서 챔버 바디(130) 내부의 압력을 유지한다.
[0032] 제어기(170)가 프로세싱 챔버(100)의 동작을 제어하기 위해 프로세싱 챔버(100)에 커플링된다. 제어기(170)는, 프로세스 시퀀스를 제어하고 가스 소스들(106)로부터의 가스 유동들을 조절하기 위해 활용되는, CPU(central processing unit)(172), 메모리(174) 및 지원 회로(176)를 포함한다. CPU(172)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 소프트웨어 루틴들은 메모리(174), 이를테면, 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(176)는 통상적으로 CPU(172)에 커플링되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(170)와 프로세싱 챔버(100)의 다양한 컴포넌트들 사이의 양방향 통신들은 많은 신호 케이블들을 통해 핸들링된다.
[0033] 도 2는 내부에 통합 및 일체화되는, 도 1에 예시된 프로세싱 챔버들(100) 중 하나 이상을 포함하는 예시적인 프로세싱 시스템(200)의 개략적인 상부 평면도이다. 일 실시예에서, 프로세싱 시스템(200)은 캘리포니아주 산타 클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 CENTURA® 통합 프로세싱 시스템일 수 있다. 그러나, (다른 제조자들로부터의 프로세싱 시스템들을 포함하는) 다른 프로세싱 시스템들이 본 개시내용으로부터 이익을 얻도록 또한 구성될 수 있다.
[0034] 일부 실시예들에서, 프로세싱 시스템(200)은 진공-기밀(vacuum-tight) 프로세싱 플랫폼, 이를테면, 프로세싱 플랫폼(204), 팩토리 인터페이스(202) 및 시스템 제어기(244)를 포함한다. 프로세싱 플랫폼(204)은 적어도 하나의 프로세싱 챔버(100), 이를테면, 도 1로부터 도시된 프로세싱 챔버들(100) 중 하나, 복수의 프로세싱 챔버들(201, 228, 220, 210), 및 적어도 하나의 로드 락 챔버(222)를 포함하며, 이는 진공 기판 이송 챔버(236)에 커플링된다. 2개의 로드 락 챔버들(222)이 도 2에 도시된다. 팩토리 인터페이스(202)는 로드 락 챔버들(222)에 의해 이송 챔버(236)에 커플링된다.
[0035] 일 실시예에서, 팩토리 인터페이스(202)는 기판들의 이송을 가능하게 하는 적어도 하나의 팩토리 인터페이스 로봇(214) 및 적어도 하나의 도킹 스테이션, 이를테면, 도킹 스테이션(208)을 포함한다. 도킹 스테이션(208)은 하나 이상의 FOUP(front opening unified pod)를 수용하도록 구성된다. 2개의 FOUP들(206A-206B)이 도 2의 실시예에 도시된다. 팩토리 인터페이스 로봇(214)의 일 단부 상에 배치된 블레이드(216)를 갖는 팩토리 인터페이스 로봇(214)은 로드 락 챔버들(222)을 통해 프로세싱을 위해 팩토리 인터페이스(202)로부터 프로세싱 플랫폼(204)으로 기판을 이송하도록 구성된다. 선택적으로, 하나 이상의 계측 스테이션들(218)이 FOUP들(206A-206B)로부터의 기판의 측정을 가능하게 하기 위해 팩토리 인터페이스(202)의 단자(226)에 연결될 수 있다.
[0036] 로드 락 챔버들(222) 각각은 팩토리 인터페이스(202)에 커플링되는 제1 포트 및 이송 챔버(236)에 커플링되는 제2 포트를 갖는다. 로드 락 챔버들(222)은 압력 제어 시스템(도시되지 않음)에 커플링되고, 이러한 압력 제어 시스템은, 이송 챔버(236)의 진공 환경과 실질적으로 팩토리 인터페이스(202)의 주변(예컨대, 대기) 환경 사이에서 기판을 통과시키는 것을 가능하게 하기 위해 로드 락 챔버들(222)을 펌핑 다운(pump down)하고 통기시킨다.
[0037] 이송 챔버(236)는 그 내부에 배치된 진공 로봇(230)을 갖는다. 진공 로봇(230)은 로드 락 챔버들(222), 프로세싱 챔버(100), 프로세싱 챔버(201) 및 프로세싱 챔버들(201, 210, 220, 228) 사이에서 기판들(301)을 이송할 수 있는 블레이드(234)를 갖는다.
[0038] 프로세싱 시스템(200)의 일 실시예에서, 프로세싱 시스템(200)은 도 1에 도시된 프로세싱 챔버(100)(이를테면, 고밀도 플라즈마(HDP; high density plasma) 챔버) 및 다른 프로세싱 챔버들(201, 210, 220, 228)을 포함할 수 있으며, 이들은 증착 챔버, 에칭 챔버, 열 프로세싱 챔버, 또는 반도체 디바이스들 내의 트렌치에 충전될, 우수한 갭 충전 능력을 갖는 유전체 재료를 형성하는 것을 보조하거나 또는 추가적인 다운스트림 프로세싱을 제공할 수 있는 다른 유사한 타입의 반도체 프로세싱 챔버일 수 있다.
[0039] 위에서 설명된 제어기(170)와 매우 유사한 시스템 제어기(244)는 일반적으로 CPU(central processing unit)(238), 메모리(240) 및 지원 회로들(242)을 포함한다. CPU(238)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(242)은 통상적으로 CPU(238)에 커플링되며, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(238)를 특정 목적 컴퓨터(제어기)(244)로 변환한다. 소프트웨어 루틴들은 또한, 프로세싱 시스템(200)으로부터 원격으로 위치되는 제2 제어기(도시되지 않음)에 의해 실행 및/또는 저장될 수 있다.
[0040] 도 3은 프로세싱 챔버(100) 또는 다른 적절한 프로세싱 챔버에서 실시될 수 있는 프로세스(300)의 일 실시예의 흐름도이다. 도 4a 및 도 4b는 프로세스(300)의 다양한 스테이지들에 대응하는 복합(composite) 기판(450)의 일부분의 개략적인 단면도들이다. 프로세스(300)는 구조들, 반도체 디바이스들, 특히, 반도체 메모리의 3D(three dimensional) 적층을 위해 예컨대 3:1을 초과하는, 또는 3:1 내지 15:1의 고 종횡비 피처들과 같은 피처들을 충전하기 위해 활용될 수 있다. 대안적으로, 프로세스(300)는 다른 타입들의 구조들을 충전하기 위해 유익하게 활용될 수 있다.
[0041] 일부 실시예들에서, 프로세스(300)는 기판, 이를테면, 도 4에 도시된 기판(301)을 증착 프로세스 챔버, 이를테면, 도 1에 도시된 프로세싱 챔버(100)로 제공 또는 이송함으로써 시작될 수 있다. 기판(301)은 실질적으로 평탄한 표면, 고르지 않은 표면, 또는 구조가 상부에 형성되어 있는 실질적으로 평탄한 표면을 가질 수 있다. 도 4a에 도시된 실시예에서, 기판(301)은 계면 층(402) 상에 배치된 재료 층(404)을 갖는다. 일 실시예에서, 재료 층(404)은 재료 층(404)에 형성된 개구들(408)을 포함한다. 재료 층(404)은 게이트 구조, 콘택 구조, 프론트 엔드 또는 백 엔드 프로세스들에서의 인터커넥션 구조, 또는 필요에 따라 임의의 적절한 구조들을 형성하기 위해 활용될 수 있다. 일 실시예에서, 프로세스(300)는 재료 층(404)에 콘택 구조를 형성하기 위해 재료 층(404)에 대해 수행될 수 있다. 기판(301)은 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 옥사이드, 스트레인드 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 패터닝된 또는 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 옥사이드들, 실리콘 나이트라이드, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리 또는 사파이어와 같은 재료일 수 있다. 기판(301)은 다양한 치수들, 이를테면, 200 mm, 300 mm, 450 mm 또는 다른 직경의 웨이퍼들 뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본원에서 설명되는 실시예들 및 예들은 200 mm 직경, 300 mm 직경 또는 450 mm 직경을 갖는 기판들에 대해 수행된다. SOI 구조가 기판(301)에 대해 활용되는 실시예에서, 기판(301)은 실리콘 결정질 기판 상에 배치된 매립 유전체 층을 포함할 수 있다. 본원에 도시된 실시예에서, 기판(301)은 결정질 실리콘 기판일 수 있다.
[0042] 일 실시예에서, 계면 층(402)은 유전체 층일 수 있다. 재료 층(404)은 계면 층(402)의 일부분들(410)을 노출시키는 개구들(408)을 그 내부에 유전체 재료를 증착하기 위해 갖는다. 본원에서 설명되는 개구들(408)은 트렌치들, 비아들, 개구들 등을 포함할 수 있다. 일 실시예에서, 재료 층(404)은 금속 함유 재료, 실리콘 함유 재료, 탄소 함유 재료들, 또는 다른 적절한 재료들일 수 있다. 금속 함유 재료들의 적절한 예들은 구리 함유 재료, 알루미늄 함유 재료들, 니켈 함유 재료, 텅스텐 함유 재료, 또는 다른 금속 함유 재료들을 포함한다. 적절한 실리콘 함유 재료들은 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 및 이들의 조합들을 포함한다. 적절한 탄소 함유 재료들은 실리콘 카바이드, 비정질 탄소 등을 포함한다. 본원에서 도시된 예시적인 실시예에서, 재료 층(404), 이를테면, 패터닝된 재료 층은 금속 층이다. 일부 실시예들에서, 패터닝된 재료 층과 같은 재료 층(404)은 패터닝된 재료 층과 같은 재료 층(404)의 최상부 표면 상에 증착되는, 하나 이상의 라이너 층들(401) 또는 하나 이상의 장벽 층들(403)을 포함할 수 있다.
[0043] 일부 실시예들에서, 계면 층(402)은 필요에 따라 유전체 층, 이를테면, 유전체 옥사이드 층 또는 유전체 나이트라이드 층일 수 있다. 계면 층(402)은 다수의 층들, 복합 층들 또는 단일 층을 포함할 수 있다. 유전체 층을 위한 다른 적절한 재료들은 도핑되지 않은 실리콘 유리(USG), 이를테면, 실리콘 옥사이드 또는 TEOS, 붕소-실리케이트 유리(BSG), 인-실리케이트 유리(PSG), 붕소-인-실리케이트 유리(BPSG), 실리콘 나이트라이드, 비정질 실리콘, 및 이들의 조합들을 포함한다.
[0044] 일부 실시예들에서, 재료 층(404)은 도 4a에 도시된 바와 같이 계면 층(402)의 일부분들(410)을 노출시키기 위해 미리 결정된 깊이까지 패터닝될 수 있다. 재료 층(404)은 임의의 적절한 패터닝 프로세스에서 에칭 또는 패터닝될 수 있다. 재료 층(404)은, 프로세싱 챔버에 인가되는 미리 결정된 RF 전력 레벨과 함께 가스 혼합물을 프로세싱 챔버 내로 공급함으로써 패터닝될 수 있다.
[0045] 동작(302)에서, 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물이 제공된다. 예컨대, 개구들(408)에 유전체 재료(406)(도 4b에 도시됨)를 형성하기 위한 증착 프로세스를 수행하기 위해 증착 가스 혼합물과 같은 제1 가스 혼합물이 프로세싱 챔버, 이를테면, 도 1의 프로세싱 챔버(100)에 공급될 수 있다. 프로세싱 챔버(100)에 공급되는 제1 가스 혼합물은, 개구들(408)에 형성되고 개구들(408)에 충전될 상이한 타입들의 재료들에 기반하여 변화될 수 있다. 일 예에서, 형성될 유전체 재료(406)가 실리콘 나이트라이드 층인 것이 원해지는 경우, 공급되는 제1 가스 혼합물은 하나 이상의 실리콘 함유 가스들, 질소 함유 가스 및 불활성 가스, 이를테면, 아르곤을 포함할 수 있다. 다른 예에서, 형성될 유전체 재료(406)가 실리콘 옥사이드 층인 것이 원해지는 경우, 공급되는 증착 가스 혼합물은 실리콘 함유 가스, 산소 함유 가스, 및 선택적으로 불활성 가스를 포함한다. 다른 예에서, 형성될 유전체 재료(406)가 실리콘 카바이드 층인 것이 원해지는 경우, 공급되는 증착 가스 혼합물은 실리콘 함유 가스, 탄소 함유 가스, 및 선택적으로 불활성 가스를 포함할 수 있다. 또 다른 예에서, 형성될 유전체 재료(406)가 카바이드 층인 것이 원해지는 경우, 공급되는 증착 가스 혼합물은 적어도 하나의 탄소 함유 가스 및 선택적으로 불활성 가스를 포함한다.
[0046] 실리콘 함유 가스의 비-제한적인 예들은 실란(SiH4), 테트라에틸 오르토실리케이트, 디실란(Si2H6) 등을 포함한다. 질소 함유 가스의 적절한 예들은 질소(N2), 암모니아(NH3), 아산화질소(N2O), 이산화질소(NO2) 등을 포함한다. 산소 함유 가스의 적절한 예들은 과산화수소(H2O2), 물(H2O), 산소(O2), 오존(O3) 등을 포함한다. 탄소 함유 가스의 적절한 예들은 이산화탄소(CO2), 탄화수소 가스들(이를테면, CH4 및 C2H6) 등을 포함한다. 불활성 가스의 적절한 예들은 헬륨(He), 아르곤(Ar) 등을 포함한다.
[0047] 일부 실시예들에서, 추가적인 캐리어 가스들, 이를테면, 질소(N2), 수소(H2) 등이 또한, 필요에 따라 증착 가스 혼합물에 공급될 수 있다.
[0048] 일부 실시예들에서, 제1 가스 혼합물은 실리콘 함유 가스, 질소 또는 탄소 함유 가스, 및 아르곤 또는 수소 가스를 포함한다. 하나의 특정 예에서, 증착 가스 혼합물은, 유전체 재료(406)로서 실리콘 나이트라이드 층을 증착하기 위해 실란(SiH4), 아르곤(Ar), 헬륨(He), 암모니아(NH3), 수소(H2) 및 질소(N2)를 포함한다. 다른 특정 예에서, 제1 가스 혼합물은, 유전체 재료(406)로서 실리콘 나이트라이드 층을 증착하기 위해 트리실릴아민(TSA), 아르곤(Ar), 헬륨(He) 및 암모니아(NH3)를 포함한다. 다른 특정 예에서, 제1 가스 혼합물은, 유전체 재료(406)로서 실리콘 카바이드 층을 증착하기 위해 실란(SiH4), 아르곤(Ar), 헬륨(He), 메탄(CH4), 이산화탄소(CO2), 수소(H2) 및/또는 질소(N2)를 포함한다. 또 다른 특정 예에서, 제1 가스 혼합물은, 유전체 재료(406)로서 실리콘 옥사이드 층을 증착하기 위해 실란(SiH4), 아르곤(Ar), 헬륨(He), 및 산소(O2) 또는 이산화질소(NO2)를 포함한다.
[0049] 일부 실시예들에서, 실리콘 함유 가스는 볼륨으로 약 30 sccm 내지 약 500 sccm, 또는 볼륨으로 50 sccm 내지 275 sccm의 유량으로 제어된다. 산소, 탄소, 또는 질소 함유 가스는 볼륨으로 약 50 sccm 내지 약 2000 sccm의 유량으로 제어된다. 일부 실시예들에서, 아르곤(Ar), 암모니아(NH3) 또는 헬륨(He) 가스는 볼륨으로 약 500 sccm 내지 약 3000 sccm의 유량으로 제어된다. 일부 실시예들에서, 헬륨(He) 가스는 볼륨으로 1000 sccm의 유량으로 공급된다. 일부 실시예들에서, 암모니아(NH3)는 볼륨으로 525 sccm의 유량으로 공급된다. 일부 실시예들에서, 아르곤(Ar)은 볼륨으로 2850 sccm의 유량으로 공급된다.
[0050] 일부 실시예들에서, 제1 가스 혼합물로부터의 가스들 중 일부가 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급될 수 있는 한편, 가스들 중 일부는 내부 프로세싱 구역(151)으로 전달되도록 프로세싱 챔버(100)의 측면, 이를테면, 샤워헤드(118) 주위에 측방향으로 형성된 유체 소스들(119)을 통해 공급될 수 있다. 일부 예들에서, 제1 가스 혼합물로부터의 제1 가스(예컨대, 반응성 전구체들), 이를테면, TSA와 같은 실리콘 함유 가스들이 프로세싱 챔버(100)의 측면(예컨대, 유체 소스들(119))으로부터 공급되는 한편, 제1 가스 혼합물로부터의 제2 가스(예컨대, 캐리어 가스, 불활성 가스, 탄소 또는 질소 함유 가스들 또는 다른 가스들)는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급된다. 일부 실시예들에서, 제1 가스가 유체 소스들(119)로부터 프로세싱 챔버 내로 공급되는 SiH4 가스인 한편, 제2 가스는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급되는 Ar, He, NH3, H2, N2, 또는 이들의 조합들 중 적어도 하나이다. 일부 실시예들에서, 제1 가스가 유체 소스들(119)로부터 프로세싱 챔버 내로 공급되는 TSA 가스인 한편, 제2 가스는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급되는 Ar, He, NH3, H2, N2, 또는 이들의 조합들 중 적어도 하나이다.
[0051] 동작(304)에서, 증착 가스 혼합물과 같은 제1 가스 혼합물이 프로세싱 챔버에 공급된 후에, 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마가 형성되고 프로세싱 챔버 내의 내부 프로세싱 구역(151)에 제1 라디칼들이 전달되어, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성한다. 일부 실시예들에서, 제1 원격 플라즈마 소스 전력이 원격 플라즈마 소스(104)에 인가되어, 원격 플라즈마 소스(104)로부터 내부 프로세싱 구역(151)으로 전달될 제1 원격 플라즈마를 생성한다. 실시예들에서, 고 에너지이지만 비교적 저밀도의 가스 라디칼들을 제공할 수 있는, 샤워헤드에 인가되는 종래의 챔버 내(in-chamber) RF 소스 전력과 비교할 때, 원격으로 해리된 가스 및/또는 다른 가스들은 고밀도 및 저 에너지의 원자 종(species)을 제공한다. 이어서, 실시예들에서, 측면, 예컨대, 유체 소스들(119)로부터 공급되는 가스들과 함께 특정 활성 가스 종을 갖는 원격 플라즈마 소스를 활용함으로써, 비교적 저 에너지 원자 종을 갖는 고밀도 가스 종이 내부 프로세싱 구역(151)으로 전달되어, 도 4b에 도시된 바와 같이, 기판(301) 상에 유전체 재료(406)를 형성한다. 실시예들에서, 원격 플라즈마 소스로부터의 원자 가스 종은 더 높은 정도의 반응성을 가지며, 이는 측면, 예컨대, 유체 소스들(119)로부터 공급되는 가스 전구체들과 더 효율적으로, 느리게 그리고 철저하게 반응하여서, 재료 층(404)에 정의된 개구들(408) 내로 유전체 재료(406)를 충전하기 위한 우수한 갭 충전 능력을 제공할 수 있다.
[0052] 일부 실시예들에서, 프로세싱 챔버 내로 도입되는 각각의 가스의 양(amount)은 예컨대 개구들(408)에 형성될 유전체 재료(406)의 두께(예컨대, 개구들(408)의 높이 또는 기하학적 구조)를 수용하도록 조정 및 변화될 수 있다. 하나 이상의 실시예들에서, 원격 플라즈마 소스(104)로부터 공급되는 가스들은 특정 비(ratio)들을 가질 수 있다. 예컨대, 질소 또는 탄소 함유 가스 대 Ar 가스의 비는 볼륨으로 약 0.2:1 내지 약 2:1로 제어될 수 있다.
[0053] 일부 실시예들에서, 원격 플라즈마로부터의 라디칼들은, 재료 층(404)의 개구들(408)에 충전되는 유전체 재료(406)의 원하는 또는 미리 결정된 두께까지 유전체 재료를 증착하기 위해 기판 지지 부재(152)에 공급된다. 예컨대, 실시예들에서, 유전체 재료는 약 30 나노미터, 60 나노미터, 90 나노미터 또는 120 나노미터, 또는 약 20 나노미터 내지 150 나노미터의 두께까지 증착된다. 아래에서 설명되는 바와 같이, 유전체 재료 층들이 본 개시내용에 따라 증착되도록, 증착은 주기적일 수 있다.
[0054] 일부 실시예들에서, 약 1000 와트 내지 약 10000 와트, 이를테면, 약 2000 와트 내지 약 4000 와트의 원격 RF 소스 전력이 원격 플라즈마 소스(104)에 공급되어, 내부 프로세싱 구역(151)으로 전달될 원격 플라즈마 및 라디칼들을 생성한다. 원격 플라즈마 소스(104)에 대한 전력은 약 400 kHz의 주파수로 인가된다. 주파수는 약 50 kHz 내지 약 2.45 GHz의 범위일 수 있다. 기판 온도는 섭씨 약 -20도 내지 섭씨 약 200도, 이를테면, 섭씨 약 20도 내지 섭씨 약 90도로 유지된다.
[0055] 일부 실시예들에서, 동작(306)에서, 원격 플라즈마 소스(104)로부터 내부 프로세싱 구역(151)으로의 원격 플라즈마를 종결시키는 동안, RF 바이어스 전력이 기판 지지 부재(152)에 인가되어, 기판 지지 부재(152) 상에 배치된 기판(301)에 대해 RF 바이어스 플라즈마를 생성할 수 있다. 일부 실시예들에서, 기판 지지 부재(152)에 대해 생성되는 RF 바이어스 전력은 증착 프로세스 동안 내부 프로세싱 구역(151) 내의 플라즈마에 대한 방향성을 제공하는 것을 보조하기 위해 활용된다. 일 실시예에서, RF 바이어스 전력은 필요에 따라 60 MHz 및/또는 2 MHz로 제공될 수 있다. 일 특정 실시예에서, RF 바이어스 전력은 60 MHz, 2 MHz 또는 400 KHz로 제공된다.
[0056] 일부 실시예들에서, RF 바이어스 전력은 펄스형 모드로 기판 지지 부재(152)에 공급될 수 있다. 실시예들에서, 반응성 종이 비교적 약한(mild) 에너지 레벨로 기판 지지 조립체 상에 배치된 기판(301)을 향해 가속될 수 있도록, 펄스형 모드로 공급되는 RF 바이어스 전력은 비교적 약한 RF 전력 레벨을 기판(301)에 제공할 수 있다. 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 원격 플라즈마 소스(104)로부터 공급되는 원격 플라즈마 전력 후에 순차적으로 인가될 수 있다.
[0057] 일부 실시예들에서, 원격 플라즈마 소스(104)로부터 원격 플라즈마가 제1 시점으로부터 제2 시점까지 공급된다. 후속하여, 제2 시점에, 원격 플라즈마 소스(104)에 인가되는 전력이 종결(예컨대, 원격 플라즈마 소스(104)로부터 공급되는 원격 플라즈마가 턴 오프)된 후에, RF 바이어스 전력이 이어서, 제2 시점으로부터 제3 시점까지 펄스형 모드로 기판 지지 부재(152)에 공급된다. 펄스형 모드로 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 유전체 재료(406)의 미리 결정된 또는 원하는 두께가 재료 층(404)의 개구들(408)에 충전될 때 종결(예컨대, 턴 오프)될 수 있다. 일부 실시예들에서, 재료 층(404)에 형성되는 유전체 재료(406)는 실리콘 나이트라이드 재료일 수 있다.
[0058] 일부 실시예들에서, 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은, 재료 층(404)의 개구들(408)에 있는 유전체 재료를 원하는 또는 미리 결정된 밀도로 처리 또는 고밀화하기 위한 바이어스 플라즈마를 형성할 수 있다. 예컨대, 동작(308)에서, 유전체 재료 층이 제1 바이어스 플라즈마와 접촉되어, 제1 처리된 유전체 재료 층을 형성할 수 있다. 실시예들에서, 유전체 재료는 재료의 밀도를 증가시키도록 처리된다.
[0059] 일부 실시예들에서, 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 본원에서 설명되는 바와 같은 프로세스 가스 혼합물들을 동반할 수 있다. 일부 실시예들에서, 프로세스 가스 혼합물들은 실리콘 함유 가스가 없다. 일부 실시예들에서, 산소, 탄소, 또는 질소 함유 가스는 볼륨으로 약 50 sccm 내지 약 2000 sccm의 유량으로 제어된다. 일부 실시예들에서, 아르곤(Ar), 암모니아(NH3) 또는 헬륨(He) 가스는 볼륨으로 약 500 sccm 내지 약 3000 sccm의 유량으로 제어된다. 일부 실시예들에서, 헬륨(He) 가스는 볼륨으로 1000 sccm의 유량으로 공급된다. 일부 실시예들에서, 암모니아(NH3)는 볼륨으로 525 sccm의 유량으로 공급된다. 일부 실시예들에서, 아르곤(Ar)은 볼륨으로 2850 sccm의 유량으로 공급된다.
[0060] 일부 실시예들에서, RF 바이어스 전력의 인가 후에, 본 발명자들은, 유전체 재료(406)가 문제가 있게, 소수성 특성들을 유지하고 그리고/또는 유동시키는 능력을 잃을 수 있다는 것을 관찰하였다. 예컨대, 유전체 재료의 점도가 증가하여서, 재료가 유동하는 능력을 잃을 수 있다. 게다가, 제1 처리된 유전체 재료 막은 문제가 있게, 85도 미만의 물 접촉각을 갖기에 충분히 친수성이거나 또는 친수성인 것으로 특징지어질 수 있다.
[0061] 프로세스 시퀀스(310)에서, 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 것에 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마가 형성되고 제2 가스 혼합물의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제2 바이어스 플라즈마를 형성하는 것을 포함할 수 있으며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여, 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다(예컨대, 점도를 감소시킴).
[0062] 일부 실시예들에서, 프로세스 시퀀스(310)에서, 제2 원격 플라즈마 또는 제2 원격 플라즈마로부터의 라디칼들은 제1 시점으로부터 제2 시점까지 미리 결정된 시간 기간 동안 기판 지지 부재(152)에 공급되는 RF 바이어스 전력과 동시에 원격 플라즈마 소스(104)로부터 기판(301)으로 공급될 수 있다. 일부 실시예들에서, 원격 플라즈마 소스(104)로부터의 원격 플라즈마는 연속 모드로 공급되고, 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 연속 모드로 있다. 일부 실시예들에서, 원격 플라즈마 소스(104)로부터의 제2 원격 플라즈마 및 기판 지지 부재(152)에 공급되는 RF 바이어스 전력은 이를테면 재료 층(404)의 개구들(408)에 있는 유전체 재료(406)의 원하는 점도 및/또는 소수성이 획득되는 제2 시점에서 동시에 종결될 수 있다. 일부 실시예들에서, 재료 층(404)에 형성되는 유전체 재료(406)는 실리콘 나이트라이드 재료일 수 있다.
[0063] 일부 실시예들에서, 프로세스 시퀀스(310)에서, 프로세싱 챔버(100)에 공급되는 제2 가스 혼합물은 개구들(408)에 있는 제1 처리된 유전체 재료 층의 상이한 타입들의 재료들에 기반하여 변화될 수 있다. 일 예에서, 유전체 재료(406)가 실리콘 나이트라이드 층일 때, 공급되는 제2 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 질소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 다른 예에서, 제1 처리된 유전체 재료 층이 실리콘 옥사이드 층일 때, 제2 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 산소 함유 가스, 또는 선택적으로 불활성 가스를 포함할 수 있다. 다른 예에서, 제1 처리된 유전체 재료 층이 실리콘 카바이드 층일 때, 공급되는 제2 가스 혼합물은 적어도 하나의 실리콘 함유 가스, 탄소 함유 가스, 또는 선택적으로 불활성 가스를 포함한다. 또 다른 예에서, 제1 처리된 유전체 재료 층이 카바이드 층일 때, 제2 가스 혼합물은 적어도 하나의 탄소 함유 가스, 또는 선택적으로 불활성 가스를 포함할 수 있다.
[0064] 실리콘 함유 가스의 비-제한적인 예들은 실란(SiH4), 테트라에틸 오르토실리케이트, 디실란(Si2H6) 등을 포함한다. 질소 함유 가스의 적절한 예들은 질소(N2), 암모니아(NH3), 아산화질소(N2O), 이산화질소(NO2) 등을 포함한다. 산소 함유 가스의 적절한 예들은 과산화수소(H2O2), 물(H2O), 산소(O2), 오존(O3) 등을 포함한다. 탄소 함유 가스의 적절한 예들은 이산화탄소(CO2), 탄화수소 가스들(이를테면, CH4 및 C2H6) 등을 포함한다. 불활성 가스의 적절한 예들은 헬륨(He), 아르곤(Ar) 등을 포함한다. 일부 실시예들에서, 캐리어 가스들, 이를테면, 질소(N2), 수소(H2) 등이 또한, 필요에 따라 제2 가스 혼합물에 공급될 수 있다.
[0065] 일부 실시예들에서, 제2 가스 혼합물은 실리콘 함유 가스, 질소 또는 탄소 함유 가스, 및 아르곤 또는 수소 가스를 포함한다. 하나의 특정 예에서, 제2 가스 혼합물은 제1 처리된 유전체 재료 층으로서 실리콘 나이트라이드 층을 위해 실란(SiH4), 아르곤(Ar) 또는 헬륨(He), 암모니아(NH3), 수소(H2) 또는 질소(N2), 또는 이들의 조합들을 포함한다. 다른 특정 예에서, 제2 가스 혼합물은 제1 처리된 유전체 재료 층으로서 실리콘 나이트라이드 층을 위해 트리실릴아민(TSA), 아르곤(Ar), 헬륨(He), 또는 암모니아(NH3), 또는 이들의 조합들을 포함한다. 다른 특정 예에서, 증착 가스 혼합물은 제1 처리된 유전체 재료 층으로서 실리콘 카바이드 층을 위해 실란(SiH4), 아르곤(Ar), 헬륨(He), 메탄(CH4), 이산화탄소(CO2), 수소(H2) 또는 질소(N2)를 포함한다. 또 다른 특정 예에서, 제2 가스 혼합물은 제1 처리된 유전체 재료 층으로서 실리콘 옥사이드 층을 위해 실란(SiH4), 아르곤(Ar), 헬륨(He), 또는 산소(O2) 또는 이산화질소(NO2)를 포함한다.
[0066] 일부 실시예들에서, 실리콘 함유 가스는 볼륨으로 약 30 sccm 내지 약 500 sccm, 또는 볼륨으로 50 sccm 내지 275 sccm의 유량으로 제어된다. 산소, 탄소, 또는 질소 함유 가스는 볼륨으로 약 50 sccm 내지 약 2000 sccm의 유량으로 제어된다. 일부 실시예들에서, 아르곤(Ar), 암모니아(NH3) 또는 헬륨(He) 가스는 볼륨으로 약 500 sccm 내지 약 3000 sccm의 유량으로 제어된다. 일부 실시예들에서, 헬륨(He) 가스는 볼륨으로 1000 sccm의 유량으로 공급된다. 일부 실시예들에서, 암모니아(NH3)는 볼륨으로 525 sccm의 유량으로 공급된다. 일부 실시예들에서, 아르곤(Ar)은 볼륨으로 2850 sccm의 유량으로 공급된다.
[0067] 일부 실시예들에서, 제2 가스 혼합물로부터의 가스들 중 일부가 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급될 수 있는 한편, 가스들 중 일부는 내부 프로세싱 구역(151)으로 전달되도록 프로세싱 챔버(100)의 측면, 이를테면, 샤워헤드(118) 주위에 측방향으로 형성된 유체 소스들(119)을 통해 공급될 수 있다. 일부 예들에서, 제1 가스는 위에서 설명된 제1 가스(예컨대, 반응성 전구체들)와 동일하며, 이를테면, TSA와 같은 실리콘 함유 가스들이 프로세싱 챔버(100)의 측면(예컨대, 유체 소스들(119))으로부터 공급되면서 제2 가스(예컨대, 캐리어 가스, 불활성 가스, 탄소 또는 질소 함유 가스들 또는 다른 가스들)는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급된다. 일부 실시예들에서, 제1 가스가 유체 소스들(119)로부터 프로세싱 챔버 내로 공급되는 SiH4 가스인 한편, 제2 가스는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급되는 Ar, He, NH3, H2, N2, 또는 이들의 조합들 중 적어도 하나이다. 일부 실시예들에서, 제1 가스가 유체 소스들(119)로부터 프로세싱 챔버 내로 공급되는 TSA 가스인 한편, 제2 가스는 가스 소스(106)로부터 원격 플라즈마 소스(104)를 통해 내부 프로세싱 구역(151)으로 공급되는 Ar, He, NH3, H2, N2, 또는 이들의 조합들 중 적어도 하나이다.
[0068] 프로세스 시퀀스(310)에서, 제2 가스 혼합물이 프로세싱 챔버에 공급되는 동안, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마가 형성된다. 실시예들에서, 제2 라디칼들은, 제2 가스 혼합물 및 제2 라디칼들의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역(151) 내로의 전달에 적절하다. 일부 실시예들에서, 제2 원격 플라즈마 소스 전력이 원격 플라즈마 소스(104)에 인가되어, 원격 플라즈마 소스(104)로부터 내부 프로세싱 구역(151)으로 전달될 제2 원격 플라즈마를 생성한다. 실시예들에서, 고 에너지이지만 비교적 저밀도의 가스 라디칼들을 제공할 수 있는, 샤워헤드에 인가되는 종래의 챔버 내 RF 소스 전력과 비교할 때, 원격으로 해리된 가스 및/또는 다른 가스들은 고밀도 및 저 에너지의 원자 종을 제공한다. 이어서, 실시예들에서, 측면, 예컨대, 유체 소스들(119)로부터 공급되는 가스들과 함께 특정 활성 가스 종을 갖는 원격 플라즈마 소스를 활용함으로써, 비교적 저 에너지 원자 종을 갖는 고밀도 가스 종이 내부 프로세싱 구역(151)으로 전달되어, 도 4b에 도시된 바와 같이, 기판(301) 상에 유전체 재료(406)를 형성한다. 실시예들에서, 원격 플라즈마 소스로부터의 원자 가스 종은 더 높은 정도의 반응성을 가지며, 이는 측면, 예컨대, 유체 소스들(119)로부터 공급되는 가스 전구체들과 더 효율적으로, 느리게 그리고 철저하게 반응하여서, 재료 층(404)에 정의된 개구들(408) 내로 유전체 재료(406)를 충전하기 위한 우수한 갭 충전 능력을 제공할 수 있다.
[0069] 일부 실시예들에서, 프로세싱 챔버 내로 도입되는 각각의 가스의 양은 예컨대 제1 처리된 유전체 재료 층의 점도 및 소수성을 수용하도록 조정 및 변화될 수 있다.
[0070] 일부 실시예들에서, 약 1000 와트 내지 약 10000 와트, 이를테면, 약 2000 와트 내지 약 4000 와트의 원격 RF 소스 전력이 원격 플라즈마 소스(104)에 공급되어, 내부 프로세싱 구역(151)으로 전달될 제2 원격 플라즈마를 생성한다. 제2 원격 플라즈마 소스에 대한 전력은 원격 플라즈마 소스(104)와 동일하고 약 400 kHz의 주파수로 인가될 수 있다. 주파수는 약 50 kHz 내지 약 2.45 GHz의 범위일 수 있다. 기판 온도는 섭씨 약 -20도 내지 섭씨 약 200도, 이를테면, 섭씨 약 20도 내지 섭씨 약 90도로 유지된다.
[0071] 일부 실시예들에서, 프로세스 시퀀스(310)에서, 원격 플라즈마 소스(104)로부터 내부 프로세싱 구역(151)으로의 제2 원격 플라즈마를 유지하면서 동시에, 제2 RF 바이어스 전력이 기판 지지 부재(152)에 인가되어, 기판 지지 부재(152) 상에 배치된 기판(301)에 대해 제2 RF 바이어스 플라즈마를 생성할 수 있다. 일부 실시예들에서, 제2 RF 바이어스 전력은 필요에 따라 60 MHz 및/또는 2 MHz로 제공될 수 있다. 일 특정 실시예에서, 제2 RF 바이어스 전력은 60 MHz, 2 MHz 또는 400 KHz로 제공된다.
[0072] 일부 실시예들에서, 미리 결정된 시간, 이를테면, 10초 내지 30초 동안 제2 RF 바이어스 전력은 기판 지지 부재(152)에 공급되고 제2 원격 플라즈마가 원격 플라즈마 소스(104)로부터 공급된다. 일부 실시예들에서, 제1 처리된 유전체 재료 층은 실리콘 나이트라이드 재료일 수 있다.
[0073] 일부 실시예들에서, 기판 지지 부재(152)에 공급되는 제2 RF 바이어스 전력은 제1 처리된 유전체 재료 층을 재료 층(404)의 개구들(408)에서의 원하는 또는 미리 결정된 점도 또는 소수성으로 처리하기 위한 제2 바이어스 플라즈마를 형성할 수 있다. 예컨대, 프로세스 시퀀스(310)에 후속하여, 제1 처리된 유전체 재료 층은, 대략 90도 내지 대략 110도의 물 접촉각을 갖기에 충분히 소수성이거나 또는 소수성인 것으로 특징지어질 수 있다. 소수성(hydrophobic) 및 소수성(hydrophobicity)은 대략 85° 이상의 물 접촉각을 갖는 표면(예컨대, 코팅 표면 또는 매끄러운 표면)의 습윤성을 지칭한다. 통상적으로, 소수성 표면 상에서, 예컨대, 2 mm-직경의 물방울이 비드 업(bead up)되지만, 표면이 적절하게 기울어질 때 표면으로부터 흘러넘치지 않는다. 표면이 기울어짐에 따라, 액적의 내리막 측(downhill side)에서의 습윤각(wetting angle)이 증가하는 한편, 액적의 오르막 측(uphill side)에서의 습윤각은 감소한다. 전진(내리막) 계면이 고체 표면의 다음 증분(increment) 상으로 계속 나아가는 어려움 및 후퇴(오르막) 계면이 액적이 배치되어 있는 고체 표면의 부분을 놓아주는 어려움으로 인해, 액적은, 제자리에 고정되어 있거나 또는 정지 상태로 남아 있는 경향이 있다. 실시예들에서, 접촉각은 이를테면 고니오미터(goniometer)를 사용하여 기술분야에 알려진 방법들에 의해 측정된다.
[0074] 실시예들에서, 제1 처리된 유전체 재료 층 또는 제1 처리된 유전체 재료 층의 최상부 표면은 물과 접촉할 때 적어도 10도, 적어도 20도, 적어도 30도, 적어도 50도만큼 자신의 접촉각을 증가시키도록 처리된다. 실시예들에서, 제1 처리된 유전체 재료 층은 물과 접촉할 때 90도 내지 110도 양의 접촉각을 갖도록 변경된다. 실시예들에서, 프로세스 시퀀스(310)에 후속하여, 제1 처리된 유전체 재료 층은 물과 접촉할 때 적어도 100도, 적어도 102도, 적어도 104도, 적어도 106도, 적어도 108도 또는 적어도 110도의 접촉각을 포함한다.
[0075] 일부 실시예들에서, 본 개시내용은 유전체 재료를 증착하는 방법에 관한 것이다. 실시예들에서, 방법은, (a) 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물을 제공하는 단계; (b) 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마를 형성하고 프로세싱 챔버 내의 내부 프로세싱 구역에 제1 라디칼들을 전달하여, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하는 단계; (c) 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제1 바이어스 플라즈마를 형성하는 단계; (d) 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계; 및 (e) 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제2 바이어스 플라즈마를 형성하는 단계를 포함하며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다(예컨대, 점도를 감소시킴). 일부 실시예들에서, 방법들은, 제1 처리된 유전체 재료 층이 미리 결정된 두께, 이를테면, 예컨대, 90 나노미터 내지 160 나노미터를 가질 때까지 (a) 단계 내지 (e) 단계를 반복하는 단계를 포함한다. 일부 실시예들에서, 방법은, 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계 ―이는 제1 처리된 유전체 재료 층의 소수성을 낮춤― 를 포함하며, 제1 처리된 유전체 재료 층은 물과 접촉할 때 90도 미만의 접촉각을 갖는다. 일부 실시예들에서, 제2 라디칼들 및 제2 바이어스 플라즈마를 제1 처리된 유전체 재료 층과 접촉시키는 것은 제1 처리된 유전체 재료 층의 소수성을 증가시키고, 제1 처리된 유전체 재료 층의 제1 표면의 소수성은 물과 접촉할 때 90도 내지 110도 양의 접촉각을 갖는다. 일부 실시예들에서, 제1 가스 혼합물 및 제2 가스 혼합물은 섭씨 영하 20도 내지 섭씨 90도의 온도로 실리콘 함유 가스, 아르곤, 헬륨 및 암모니아를 포함한다. 일부 실시예들에서, (e) 단계는 제1 처리된 유전체 재료 층을 약 5초 동안 제2 라디칼들 및 제2 RF 바이어스 플라즈마와 접촉시키는 것을 포함한다. 일부 실시예들에서, 제2 가스 혼합물은 섭씨 영하 20도 내지 섭씨 90도의 온도로 아르곤, 헬륨, 암모니아 및 트리실릴아민(TSA)을 포함한다. 일부 실시예들에서, 제2 원격 플라즈마는, 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하기 전에 형성된다. 일부 실시예들에서, 제1 원격 플라즈마를 형성하는 것은, 미리 결정된 시간 기간 동안 제1 원격 플라즈마를 형성하는 것; 및 제1 RF 바이어스 전력을 인가하기 전에 제1 원격 플라즈마를 종결시키는 것을 더 포함한다. 일부 실시예들에서, 제1 가스 혼합물 및 제2 가스 혼합물은 프로세싱 챔버의 측면을 통해 내부 프로세싱 구역에 공급되는 전구체 가스를 포함한다. 일부 실시예들에서, 제1 가스 혼합물 및 제2 가스 혼합물은 원격 플라즈마 소스를 통해 내부 프로세싱 구역에 공급되는 제2 가스를 포함한다. 일부 실시예들에서, 제1 가스 혼합물 및 제2 가스 혼합물은 실리콘 함유 가스, 질소 함유 가스, 불활성 가스, 탄소 함유 가스 및 산소 함유 가스로 구성된 그룹으로부터 선택되는 하나 이상의 가스들을 포함한다. 일부 실시예들에서, 제1 가스 혼합물 및 제2 가스 혼합물은 적어도 아르곤(Ar), 헬륨(He), 암모니아(NH3), 수소(H2) 및 질소(N2)를 포함한다. 일부 실시예들에서, 제1 처리된 유전체 재료 층은 실리콘 나이트라이드 층 또는 실리콘 카바이드 층이다. 일부 실시예들에서, 방법은, 섭씨 약 -20도 내지 섭씨 약 90도의 기판 온도를 유지하는 단계를 포함한다.
[0076] 이제 도 5를 참조하면, 방법(500)은 본 개시내용에 따른, 유전체 재료를 형성하기 위한 방법을 포함한다. 실시예들에서, 방법(500)은, 프로세스 시퀀스(502)에서, 기판이 배치되어 있는 프로세싱 챔버의 내부 프로세싱 구역에 제1 원격 플라즈마, 제1 바이어스 플라즈마 및 제2 원격 플라즈마를 제2 바이어스 플라즈마와 함께 순차적으로 적용하여 형성된 유전체 재료로, 기판 상의 5를 초과하는 종횡비를 갖는 개구를 충전하는 단계를 포함한다. 프로세스 시퀀스(504)에서, 방법(500)은, 적어도, 유전체 재료의 유동성 또는 소수성을 증가시키는 단계를 포함한다. 일부 실시예들에서, 방법은 유전체 재료를 포함하며, 유전체 재료는 실리콘 나이트 라이드 층 또는 실리콘 카바이드 층이다. 실시예들에서, 개구는 최하부로부터 최상부까지 유전체 재료로 충전된다. 일부 실시예들에서, 개구는 미리 결정된 두께까지 복수의 유전체 재료 층들로 충전된다.
[0077] 일부 실시예들에서, 본 개시내용은 프로세스 챔버 및/또는 통합 시스템에 관한 것으로, 프로세스 챔버 및/또는 통합 시스템은, (a) 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물을 제공하고; (b) 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마를 형성하고 프로세싱 챔버 내의 내부 프로세싱 구역에 제1 라디칼들을 전달하여, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하고; (c) 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제1 바이어스 플라즈마를 형성하고; (d) 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하고; 그리고 (e) 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제2 바이어스 플라즈마를 형성하도록 구성되며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다(예컨대, 점도를 감소시킴).
[0078] 일부 실시예들에서, 본 개시내용은, 프로세서에 의해 실행될 때, 방법이 수행되게 하는 명령들이 저장되어 있는 비-일시적 컴퓨터 판독가능 저장 매체에 관한 것으로, 방법은, (a) 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물을 제공하는 단계; (b) 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 제1 원격 플라즈마를 형성하고 프로세싱 챔버 내의 내부 프로세싱 구역에 제1 라디칼들을 전달하여, 제1 가스 혼합물 및 제1 라디칼들의 존재 시에 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하는 단계; (c) 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제1 바이어스 플라즈마를 형성하는 단계; (d) 유전체 재료 층을 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계; 및 (e) 후속하여, 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 프로세싱 챔버 내의 내부 프로세싱 구역에 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 프로세싱 챔버에 인가하여 제2 바이어스 플라즈마를 형성하는 단계를 포함하며, 제2 라디칼들 및 제2 바이어스 플라즈마는 제1 처리된 유전체 재료 층과 접촉하여 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시킨다(예컨대, 점도를 감소시킴).
[0079] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않으면서, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있다.

Claims (19)

  1. 유전체 재료를 형성하기 위한 방법으로서,
    기판이 배치되어 있는 프로세싱 챔버의 내부 프로세싱 구역에 제1 원격 플라즈마, 제1 바이어스 플라즈마 및 제2 원격 플라즈마를 제2 바이어스 플라즈마와 함께 순차적으로 적용하여 형성된 유전체 재료로, 상기 기판 상의 5를 초과하는 종횡비를 갖는 개구를 충전하는 단계; 및
    적어도, 상기 유전체 재료의 유동성 또는 소수성을 증가시키는 단계
    를 포함하는,
    유전체 재료를 형성하기 위한 방법.
  2. 제1 항에 있어서,
    상기 개구를 충전하는 단계는,
    (a) 상기 기판이 내부에 배치되어 있는 프로세싱 챔버 내로 제1 가스 혼합물을 제공하는 단계;
    (b) 원격 플라즈마 소스에서 제1 라디칼들을 포함하는 상기 제1 원격 플라즈마를 형성하고 상기 프로세싱 챔버 내의 내부 프로세싱 구역에 상기 제1 라디칼들을 전달하여, 상기 제1 가스 혼합물 및 상기 제1 라디칼들의 존재 시에 상기 기판 상에 배치된 재료 층의 개구에 유전체 재료 층을 형성하는 단계;
    (c) 상기 제1 원격 플라즈마를 종결시키고 제1 RF 바이어스 전력을 상기 프로세싱 챔버에 인가하여 상기 제1 바이어스 플라즈마를 형성하는 단계;
    (d) 상기 유전체 재료 층을 상기 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계; 및
    (e) 후속하여, 상기 원격 플라즈마 소스에서 제2 라디칼들을 포함하는 상기 제2 원격 플라즈마를 형성하고 제2 가스 혼합물의 존재 시에 상기 프로세싱 챔버 내의 상기 내부 프로세싱 구역에 상기 제2 라디칼들을 전달하면서 제2 RF 바이어스 전력을 상기 프로세싱 챔버에 인가하여 상기 제2 바이어스 플라즈마를 형성하는 단계
    를 더 포함하며,
    상기 제2 라디칼들 및 상기 제2 바이어스 플라즈마는 상기 제1 처리된 유전체 재료 층과 접촉하여 상기 제1 처리된 유전체 재료 층의 소수성 또는 유동성을 증가시키는,
    유전체 재료를 형성하기 위한 방법.
  3. 제2 항에 있어서,
    상기 제1 처리된 유전체 재료 층이 미리 결정된 두께를 가질 때까지 상기 (a) 단계 내지 상기 (e) 단계를 반복하는 단계를 더 포함하는,
    유전체 재료를 형성하기 위한 방법.
  4. 제2 항 또는 제3 항에 있어서,
    상기 유전체 재료 층을 상기 제1 바이어스 플라즈마와 접촉시켜 제1 처리된 유전체 재료 층을 형성하는 단계는, 상기 제1 처리된 유전체 재료 층의 소수성을 낮추며, 상기 제1 처리된 유전체 재료 층은 물과 접촉할 때 90도 미만의 접촉각을 갖는,
    유전체 재료를 형성하기 위한 방법.
  5. 제2 항 또는 제3 항에 있어서,
    상기 제2 라디칼들 및 상기 제2 바이어스 플라즈마를 상기 제1 처리된 유전체 재료 층과 접촉시키는 것은 상기 제1 처리된 유전체 재료 층의 소수성을 증가시키고, 상기 제1 처리된 유전체 재료 층의 제1 표면의 소수성은 물과 접촉할 때 90도 내지 110도 양(amount)의 접촉각을 갖는,
    유전체 재료를 형성하기 위한 방법.
  6. 제2 항 또는 제3 항에 있어서,
    상기 제1 가스 혼합물 및 상기 제2 가스 혼합물은 섭씨 영하 20도 내지 섭씨 90도의 온도로 실리콘 함유 가스, 아르곤, 헬륨 및 암모니아를 포함하는,
    유전체 재료를 형성하기 위한 방법.
  7. 제2 항 또는 제3 항에 있어서,
    상기 (e) 단계는 약 5초 동안 상기 제1 처리된 유전체 재료 층을 상기 제2 라디칼들 및 상기 제2 RF 바이어스 플라즈마와 접촉시키는 단계를 포함하는,
    유전체 재료를 형성하기 위한 방법.
  8. 제2 항 또는 제3 항에 있어서,
    상기 제2 가스 혼합물은 섭씨 영하 20도 내지 섭씨 90도의 온도로 아르곤, 헬륨, 암모니아 및 트리실릴아민(TSA)을 포함하는,
    유전체 재료를 형성하기 위한 방법.
  9. 제2 항 또는 제3 항에 있어서,
    상기 제2 원격 플라즈마는 상기 프로세싱 챔버에 제2 RF 바이어스 전력을 인가하기 전에 형성되는,
    유전체 재료를 형성하기 위한 방법.
  10. 제2 항 또는 제3 항에 있어서,
    상기 제1 원격 플라즈마를 형성하는 것은,
    미리 결정된 시간 기간 동안 상기 제1 원격 플라즈마를 형성하는 것; 및
    제1 RF 바이어스 전력을 인가하기 전에 상기 제1 원격 플라즈마를 종결시키는 것
    을 더 포함하는,
    유전체 재료를 형성하기 위한 방법.
  11. 제2 항 또는 제3 항에 있어서,
    상기 제1 가스 혼합물 및 상기 제2 가스 혼합물은 상기 프로세싱 챔버의 측면(side)을 통해 상기 내부 프로세싱 구역에 공급되는 전구체 가스를 포함하는,
    유전체 재료를 형성하기 위한 방법.
  12. 제2 항 또는 제3 항에 있어서,
    상기 제1 가스 혼합물 및 상기 제2 가스 혼합물은 상기 원격 플라즈마 소스를 통해 상기 내부 프로세싱 구역에 공급되는 제2 가스를 포함하는,
    유전체 재료를 형성하기 위한 방법.
  13. 제2 항 또는 제3 항에 있어서,
    상기 제1 가스 혼합물 및 상기 제2 가스 혼합물은 실리콘 함유 가스, 질소 함유 가스, 불활성 가스, 탄소 함유 가스 및 산소 함유 가스로 구성된 그룹으로부터 선택되는 하나 이상의 가스들을 포함하는,
    유전체 재료를 형성하기 위한 방법.
  14. 제2 항 또는 제3 항에 있어서,
    상기 제1 가스 혼합물 및 상기 제2 가스 혼합물은 적어도 아르곤(Ar), 헬륨(He), 암모니아(NH3), 수소(H2) 및 질소(N2)를 포함하는,
    유전체 재료를 형성하기 위한 방법.
  15. 제2 항 또는 제3 항에 있어서,
    상기 제1 처리된 유전체 재료 층은 실리콘 나이트라이드 층 또는 실리콘 카바이드 층인,
    유전체 재료를 형성하기 위한 방법.
  16. 제2 항 또는 제3 항에 있어서,
    섭씨 약 -20도 내지 섭씨 약 90도의 기판 온도를 유지하는 단계를 더 포함하는,
    유전체 재료를 형성하기 위한 방법.
  17. 제1 항 내지 제3 항 중 어느 한 항에 있어서,
    상기 개구는 최하부로부터 최상부로 충전되는,
    유전체 재료를 형성하기 위한 방법.
  18. 제1 항 내지 제3 항 중 어느 한 항에 있어서,
    상기 개구는 미리 결정된 두께까지 복수의 유전체 재료 층들로 충전되는,
    유전체 재료를 형성하기 위한 방법.
  19. 프로세서에 의해 실행될 때, 제1 항 내지 제3 항 중 어느 한 항에 따른 방법이 수행되게 하는 명령들이 저장되어 있는 비-일시적 컴퓨터 판독가능 저장 매체.
KR1020227012890A 2019-09-20 2020-09-21 유전체 재료를 증착하기 위한 방법들 및 장치 KR20220059967A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/578,050 US11972943B2 (en) 2019-09-20 2019-09-20 Methods and apparatus for depositing dielectric material
US16/578,050 2019-09-20
PCT/US2020/051719 WO2021055918A1 (en) 2019-09-20 2020-09-21 Methods and apparatus for depositing dielectric material

Publications (1)

Publication Number Publication Date
KR20220059967A true KR20220059967A (ko) 2022-05-10

Family

ID=74881186

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227012890A KR20220059967A (ko) 2019-09-20 2020-09-21 유전체 재료를 증착하기 위한 방법들 및 장치

Country Status (7)

Country Link
US (1) US11972943B2 (ko)
EP (1) EP4032117A4 (ko)
JP (1) JP7374308B2 (ko)
KR (1) KR20220059967A (ko)
CN (1) CN114402417A (ko)
TW (1) TW202120733A (ko)
WO (1) WO2021055918A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210202275A1 (en) * 2019-12-27 2021-07-01 Intel Corporation Tools and methods for subtractive metal patterning
WO2024102586A1 (en) * 2022-11-07 2024-05-16 Lam Research Corporation Chemical vapor deposition of silicon nitride using a remote plasma
CN117153672B (zh) * 2023-11-01 2024-01-26 粤芯半导体技术股份有限公司 一种介电层及其制作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2783276B2 (ja) 1995-07-04 1998-08-06 日本電気株式会社 半導体装置の製造方法
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP4280686B2 (ja) 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP6029975B2 (ja) 2012-12-28 2016-11-24 株式会社荏原製作所 基板洗浄装置及び基板洗浄方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN105190840B (zh) 2013-05-03 2018-10-12 应用材料公司 用于多图案化应用的光调谐硬掩模
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
DE102016117837A1 (de) 2016-09-21 2018-03-22 Knorr-Bremse Systeme für Nutzfahrzeuge GmbH Luftaufbereitungseinheit für eine Bremsanlage eines Nutzfahrzeugs, Bremsanlage und Verfahren zum Betreiben einer Luftaufbereitungseinheit
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
WO2018212999A1 (en) 2017-05-13 2018-11-22 Applied Materials, Inc. Cyclic flowable deposition and high-density plasma treatment proceses for high quality gap fill solutions
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias

Also Published As

Publication number Publication date
EP4032117A1 (en) 2022-07-27
JP7374308B2 (ja) 2023-11-06
EP4032117A4 (en) 2023-11-01
US11972943B2 (en) 2024-04-30
TW202120733A (zh) 2021-06-01
JP2022549243A (ja) 2022-11-24
WO2021055918A1 (en) 2021-03-25
CN114402417A (zh) 2022-04-26
US20210090883A1 (en) 2021-03-25

Similar Documents

Publication Publication Date Title
KR102627584B1 (ko) 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
US9299577B2 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
US7097886B2 (en) Deposition process for high aspect ratio trenches
US11631591B2 (en) Methods for depositing dielectric material
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US10475645B2 (en) Method of filling recess and processing apparatus
JP7331236B2 (ja) 誘電体材料を硬化させる方法及び装置
TWI839600B (zh) 低溫無蒸汽氧化物間隙填充
TW202429569A (zh) 沉積介電材料之方法
TWI845607B (zh) 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US20080206968A1 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal