TW201532139A - 使用遠端電漿源以凹陷超低k介電質 - Google Patents
使用遠端電漿源以凹陷超低k介電質 Download PDFInfo
- Publication number
- TW201532139A TW201532139A TW103146114A TW103146114A TW201532139A TW 201532139 A TW201532139 A TW 201532139A TW 103146114 A TW103146114 A TW 103146114A TW 103146114 A TW103146114 A TW 103146114A TW 201532139 A TW201532139 A TW 201532139A
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric layer
- chemical
- plasma
- ultra
- ulk
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01B—CABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
- H01B19/00—Apparatus or processes specially adapted for manufacturing insulators or insulating bodies
- H01B19/04—Treating the surfaces, e.g. applying coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。下游電漿係由遠端電漿源產生。
Description
本發明的實施例係關於電子裝置製造領域,且特別係關於超低k(ULK)材料凹陷。
在半導體產業中,為改善尺寸持續微縮的電子裝置性能(例如減少RC延遲、功率消耗、串音),可使用介電常數k接近或小於2.2的ULK材料。
通常,後段處理製造如多層銅內連線的電子裝置或其他電子裝置涉及蝕刻ULK材料。習知電漿蝕刻一般使用氟碳基化學品(例如CF4),此會造成銅腐蝕。習知電漿蝕刻涉及離子轟擊包含ULK材料的工件。離子轟擊會損壞電子裝置結構的特徵結構(例如銅線的頂部圓角)。
此外,在蝕刻後清洗高分子殘留物時,亦會損壞特徵結構。另外,習知蝕刻通常會因高分子微遮蔽作用而產生粗糙的蝕刻正面。習知溼蝕刻會造成ULK材料底切(例如銅線特徵結構底下)、特徵結構晃動及彎曲。
目前蝕刻技術不具圖案化精密奈米級ULK材料基
結構所需的控制程度或無損壞本質。
茲描述使用遠端電漿源以凹陷超低K介電質的方法和設備。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分,其中下游電漿係由遠端電漿源產生。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分,其中下游電漿係由遠端電漿源供應。使超低k介電層昇華,以移除蝕刻副產物。
在一實施例中,包含第一化學品的氣體供應至遠端電漿源。利用遠端電漿源產生包含第一化學品的下游電漿。使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。包含第二化學品的氣體供應至遠端電漿源。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。利用遠端電漿源產生包含第二化學品的下游電漿。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分,其中下游電漿係由遠端電漿源產生。第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。
在一實施例中,使用包含第一化學品的下游電漿,
改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分,其中下游電漿係由遠端電漿源產生。第二化學品係氟化氮、氨、氫或上述任一組合物。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分,其中下游電漿係由遠端電漿源產生。第一組參數經調整以控制改質基板上的部分超低k介電層。第一組參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分,其中下游電漿係由遠端電漿源產生。下游電漿係實質無離子電漿。超低k介電層的介電常數K不大於2.2。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。以不大於100瓦(W)的偏壓功率進行改質。持續反覆進行改質、蝕刻及昇華,直
到超低k介電層經蝕刻達預定深度為止。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。保護氧化層沉積在超低k介電層上。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。第二化學品係氟化氮、氨、氫或上述任一組合物。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。藉由調整持續時間、功率、壓力、溫度、氣體流量或上述任一組合物,以控制改質及蝕刻的至少一者。
在一實施例中,使用包含第一化學品的下游電漿,改質基板上部分導電層間的部分超低k介電層。使用包含第
二化學品的下游電漿,蝕刻超低k介電層的改質部分。使超低k介電層昇華,以移除蝕刻副產物。加熱超低k介電層,以進行昇華。
在一實施例中,凹陷超低k介電層以製造電子裝置的設備包含基座,用以支撐工件。工件包含超低k介電層於基板上。入口用於輸入包含第一化學品或第二化學品的氣體。遠端電漿源耦接至入口。遠端電漿源包含阻斷板,用以產生實質無離子下游電漿。阻斷板用於防止電漿離子抵達工件。處理器耦接至遠端電漿源。處理器具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。處理器具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。
在一實施例中,凹陷超低k介電層以製造電子裝置的設備包含基座,用以支撐工件。工件包含超低k介電層於基板上。入口用於輸入包含第一化學品或第二化學品的氣體。遠端電漿源耦接至入口。遠端電漿源包含阻斷板,用以產生實質無離子下游電漿。阻斷板用於防止電漿離子抵達工件。處理器耦接至遠端電漿源。處理器具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。處理器具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。處理器具有第三構造,用以控制使超低k介電層昇華而移除蝕刻副產物。
在一實施例中,凹陷超低k介電層以製造電子裝置
的設備包含基座,用以支撐工件。工件包含超低k介電層於基板上。入口用於輸入包含第一化學品或第二化學品的氣體。遠端電漿源耦接至入口。遠端電漿源包含阻斷板,用以產生實質無離子下游電漿。阻斷板用於防止電漿離子抵達工件。處理器耦接至遠端電漿源。處理器具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。處理器具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。處理器具有第四構造,用以維持偏壓功率小於或等於100W。處理器具有第五構造,用以持續反覆進行改質、蝕刻及昇華,直到超低k介電層經蝕刻達預定深度為止。
在一實施例中,凹陷超低k介電層以製造電子裝置的設備包含基座,用以支撐工件。工件包含超低k介電層於基板上。入口用於輸入包含第一化學品或第二化學品的氣體。遠端電漿源耦接至入口。遠端電漿源包含阻斷板,用以產生實質無離子下游電漿。阻斷板用於防止電漿離子抵達工件。處理器耦接至遠端電漿源。處理器具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。處理器具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。
在一實施例中,凹陷超低k介電層以製造電子裝置的設備包含基座,用以支撐工件。工件包含超低k介電層於基板上。入口用於輸入包含第一化學品或第二化學品的氣
體。遠端電漿源耦接至入口。遠端電漿源包含阻斷板,用以產生實質無離子下游電漿。阻斷板用於防止電漿離子抵達工件。處理器耦接至遠端電漿源。處理器具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。處理器具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。第二化學品係氟化氮、氨、氫或上述任一組合物。
在一實施例中,凹陷超低k介電層以製造電子裝置的設備包含基座,用以支撐工件。工件包含超低k介電層於基板上。入口用於輸入包含第一化學品或第二化學品的氣體。遠端電漿源耦接至入口。遠端電漿源包含阻斷板,用以產生實質無離子下游電漿。阻斷板用於防止電漿離子抵達工件。處理器耦接至遠端電漿源。處理器具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。處理器具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。記憶體耦接至處理器,用以儲存第一組參數來控制改質部分超低k介電層,及儲存第二組參數來控制蝕刻超低k介電層的改質部分。
本發明的其他特徵在參閱附圖和以下詳細說明後將變得更清楚易懂。
100‧‧‧電漿系統
101‧‧‧處理腔室
102‧‧‧基座
104‧‧‧靜電夾頭
105‧‧‧工件
106‧‧‧RF電源
107、108‧‧‧電漿
109‧‧‧噴淋頭
110‧‧‧阻斷板
111‧‧‧製程氣體
112‧‧‧質量流量控制器
113‧‧‧間隙
114‧‧‧壓力控制系統
115‧‧‧開口
116‧‧‧出口
117‧‧‧DC電源
118、119‧‧‧偏壓功率
120‧‧‧RF匹配
121‧‧‧冷卻/加熱基底
122‧‧‧溫度控制器
123‧‧‧處理器
124‧‧‧記憶體
125‧‧‧輸入/輸出裝置
126‧‧‧電極
127‧‧‧遠端電漿源
128‧‧‧入口
129‧‧‧電漿腔穴
131‧‧‧襯層/控制器
200‧‧‧電子裝置結構
201‧‧‧基板
202‧‧‧ULK介電層
203‧‧‧導電層
204‧‧‧特徵結構
205‧‧‧表面部分
206、207‧‧‧電漿
208‧‧‧副產物
209‧‧‧加熱
210、220、230、240‧‧‧視圖
211、215‧‧‧深度
212-214‧‧‧部分
216、217‧‧‧自由基
300‧‧‧電子裝置結構
301‧‧‧基板
302‧‧‧ULK介電層
303‧‧‧氧化層
304‧‧‧導電層
305‧‧‧特徵結構
306‧‧‧表面部分
307、308‧‧‧電漿
309‧‧‧副產物
310、320、330、340‧‧‧視圖
311‧‧‧沉積層
312‧‧‧加熱
313‧‧‧深度
314‧‧‧部分
315‧‧‧側壁
316‧‧‧溝槽
324‧‧‧底部
400‧‧‧電子裝置結構
401‧‧‧基板
402‧‧‧ULK介電層
403‧‧‧氧化層
404‧‧‧導電層
405‧‧‧特徵結構
406‧‧‧保護層
407‧‧‧底部/改質部分
408、409‧‧‧電漿
410、420、430、440‧‧‧視圖
411‧‧‧副產物
412‧‧‧昇華
413‧‧‧溝槽/深度
414‧‧‧側壁
416‧‧‧鰭片
417‧‧‧加熱
500‧‧‧視圖
501、502‧‧‧圖像
600‧‧‧資料處理系統
602‧‧‧處理器
604、606、618‧‧‧記憶體
608‧‧‧網路介面裝置
610‧‧‧視訊顯示器
612‧‧‧文數輸入裝置
614‧‧‧游標控制裝置
616‧‧‧訊號產生裝置
620‧‧‧網路
622‧‧‧軟體
626‧‧‧處理邏輯
630‧‧‧匯流排/儲存媒體
911‧‧‧控制器
本文所述實施例僅為舉例說明而不限於附圖圖式,其中相同的元件符號代表相似的元件。
第1圖圖示電漿系統實施例的方塊圖,用於凹陷ULK介電層。
第2A圖圖示根據一實施例的電子裝置結構側視圖。
第2B圖係類似第2A圖的視圖,該圖說明根據一實施例使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。
第2C圖係類似第2B圖的視圖,該圖說明根據一實施例使超低k介電層昇華而移除蝕刻副產物。
第2D圖係類似第2C圖的視圖,該圖為根據一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。
第2E圖係類似第2D圖的視圖,該圖為根據另一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。
第3A圖圖示根據一實施例的電子裝置結構側視圖。
第3B圖係類似第3A圖的視圖,該圖說明根據一實施例使用包含第二化學品的下游電漿,蝕刻氧化層的改質部分和超低k介電層的底下改質部分。
第3C圖係類似第3B圖的視圖,該圖說明根據一實施例蝕刻氧化層和ULK介電層的改質部分後形成的沉積層。
第3D圖係類似第3C圖的視圖,該圖說明根據一實施例使超低k介電層昇華而移除沉積層。
第3E圖係類似第3D圖的視圖,該圖為根據一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。
第4A圖圖示根據一實施例的電子裝置結構側視圖。
第4B圖係類似第4A圖的視圖,該圖圖示根據一實
施例使用包含第一化學品的下游電漿408,選擇性改質達預定深度的溝槽底部。
第4C圖係類似第4B圖的視圖420,該圖說明根據一實施例使用包含第二化學品的下游電漿,蝕刻超低k介電層402的改質部分。
第4D圖係類似第4C圖的視圖430,該圖說明根據一實施例使超低k介電層402昇華412而移除副產物411。
第4E圖係類似第4D圖的視圖440,該圖為根據一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。
第5圖圖示根據一實施例的示例性掃描式電子顯微鏡圖,該圖說明在改質後及在蝕刻後,具導電特徵結構於ULK介電材料上的電子裝置結構。
第6圖圖示資料處理系統實施例的方塊圖,用於控制電漿系統以凹陷所述ULK介電質。
以下說明提及許多特定細節,例如特定材料、化學品、元件尺寸等,以對本發明的一或更多實施例有更徹底的瞭解。然一般技術人士將明白,本發明的一或更多實施例可不按該等特定細節實現。在其他情況下,並不詳述半導體製造製程、技術、材料、設備等,以免讓本發明變得晦澀難懂。一般技術人士將可由附帶說明實現適當功能性,而無需過度實驗。
雖然本發明的一些示例性實施例已描述及圖示於附圖,但應理解該等實施例僅為舉例說明,而非限定在當前發
明,本發明不限於所示及所述特定構造和配置,因為一般技術人士當可加以修改。
整份說明書提及的「一個實施例」、「另一實施例」或「一實施例」意指該實施例描述的特定特徵、結構或特性係包含在本發明至少一實施例內。故說明書各處出現的如「在一個實施例中」或「在一實施例中」等用語不必然指稱本發明的同一實施例。另外,在一或更多實施例中,可以任何適合方式結合特定特徵、結構或特性。
再者,本發明態樣在於不超過單一揭示實施例的所有特徵結構。故遵循【實施方式】的申請專利範圍在此將明確併入【實施方式】,每一申請專利範圍代表自身為本發明的獨立實施例。儘管本發明已以數個實施例描述,然熟諳此技術者將理解本發明不限於所述實施例,在後附申請專利範圍的精神和範圍內,當可作各種潤飾與更動。故敘述內容視為說明性、而非限制性。
茲描述使用遠端電漿源以凹陷超低k(ULK)介電質的方法和設備。在一實施例中,使用包含第一化學品的下游電漿,改質基板上的部分ULK介電層。使用包含第二化學品的下游電漿,蝕刻ULK介電層的改質部分,其中下游電漿係由遠端電漿源產生。具遠端電漿源的對稱電漿腔室的方向性蝕刻有助於凹陷ULK介電質且無銅損壞和ULK材料底切。對稱電漿腔室可為位於美國加州聖克拉拉的Applied Materials公司製造的C3腔室之一(例如Capa腔室)或任何其他對稱電漿腔室。遠端電漿源可為位於美國加州聖克拉拉
的Applied Materials公司製造的遠端電漿源之一(例如Siconi源)或任何其他遠端電漿源。
在一實施例中,包含第一化學品的下游電漿可減少分子、高分子鏈或ULK介電質表面部分間鍵結,進而形成ULK材料的改質表面部分,改質部分可輕易由後續使用包含第二化學品的實質無離子下游電漿蝕刻移除,而留下未改質ULK材料部分完好無缺。即,包含第二化學品的下游電漿可選擇性移除改質ULK材料(和選擇性側壁保護),此將進一步詳述於後。採用出自遠端電漿源的下游電漿有助於在無銅腐蝕的情況下溫和凹陷ULK介電質,且凹陷ULK介電質的頂表面既平滑又無殘留。有利地,ULK介電質的蝕刻輪廓呈垂直及無側向蝕刻,此將進一步詳述於後。
第1圖圖示電漿系統100的實施例方塊圖,用於凹陷ULK介電層。如第1圖所示,系統100具有處理腔室101。在一實施例中,處理腔室101係對稱電漿腔室。襯層(例如襯層131)沿著腔室101的壁面延伸。用於支托工件105的移動式基座102設在處理腔室101內。基座102包含靜電夾頭(ESC)104、埋置於ESC 104內的DC電極126和冷卻/加熱基底121。在一實施例中,基座102用作移動陰極。在一實施例中,冷卻/加熱基底121係鋁基底或任何其他金屬基底。在一實施例中,ESC 104包含Al2O3材料、Y2O3或電子裝置製造領域的一般技術人士已知的其他陶瓷材料。在一實施例中,ESC頂部的陶瓷定位盤由Al2O3製成。DC電源117連接至DC電極126。
如第1A圖所示,工件105經由開口115裝載及放到ESC 104上。在一實施例中,工件包含超低k介電層於基板上。工件可為光罩、半導體晶圓或一般電子裝置製造技術人士已知的其他工件。在至少一些實施例中,工件包含用於製作任何積體電路、被動(例如電容、電感)與主動(例如電晶體、光偵測器、雷射、二極體)微電子裝置的任何材料。工件可包括絕緣(例如介電)材料,用以隔開主動與被動微電子裝置和形成於上的一或更多導電層。在一實施例中,工件係包括一或更多介電層的半導體基板,例如二氧化矽、氮化矽、藍寶石和其他介電材料。在一實施例中,工件係包括一或更多層的晶圓堆疊。工件的一或更多層可包括導電、半導體、絕緣或任何層組合。系統100包含入口128,用以輸入一或更多製程氣體111。
如第1圖所示,一或更多製程氣體111經由質量流量控制器112輸入到遠端電漿源127。在一實施例中,一或更多製程氣體111包含用於改質工件105的ULK介電質的化學品或用於蝕刻工件105的改質ULK介電質的化學品,此將進一步詳述於後。遠端電漿源127耦接至RF電源106。遠端電漿源127利用高頻電場,由一或更多製程氣體111產生電漿107。電漿107包含電漿粒子,例如離子、電子、自由基或上述任一組合物。遠端電漿源127在噴淋頭109上方包含阻斷板110。
在一實施例中,阻斷板110係導電(例如金屬)板。間隙113隔開阻斷板110和噴淋頭109。在一實施例中,電漿
107係圓錐形電漿。如第1圖所示,電漿107係在遠端電漿腔穴129內產生,阻斷板110隔開遠端電漿腔穴129和處理腔室101。阻斷板110可防止電漿107的離子與電子抵達放置工件105的處理腔室101。
如第1圖所示,實質無離子下游電漿108係以電漿107為基料產生,電漿108通過阻斷板110和噴淋頭109而至處理腔室101。在一實施例中,電漿108中用於蝕刻改質ULK介電質的離子量實質少於電漿107中的離子量,故工件103包括已蝕刻ULK介電質的導電特徵結構不會遭離子轟擊損壞,同時仍可保持蝕刻操作的非等向特性。在一實施例中,頻率約75千赫的電源106用於凹陷ULK介電質。
電漿偏壓功率119經由RF匹配120耦接至基座102(例如陰極),以激發電漿。在一實施例中,電漿偏壓功率119的頻率為約2兆赫至60兆赫,在一特定實施例中,頻帶為13.56兆赫。亦可提供如以約2兆赫至60兆赫操作的電漿偏壓功率118,在一特定實施例中,頻帶為60兆赫且連接至RF匹配120做為電漿偏壓功率118,以提供雙頻偏壓功率。在一實施例中,施加至基座102的總偏壓功率為10W至3000W。在一實施例中,施加至基座102的總偏壓功率為小於或等於100W。在更特定實施例中,施加至基座102的總偏壓功率為約50W至約100W。
如第1圖所示,壓力控制系統114提供處理腔室101壓力。如第1圖所示,腔室101經由複數個對稱設置的排放出口116對稱排空。排放出口116連接至對稱真空泵系統(未
圖示),以提供均勻排空腔室處理期間製造的揮發性產物。
對稱電漿腔室可為位於美國加州聖克拉拉的Applied Materials公司製造的C3腔室之一(例如Capa腔室)或任何其他對稱電漿腔室。遠端電漿源可為位於美國加州聖克拉拉的Applied Materials公司製造的遠端電漿源之一(例如Siconi源)或任何其他遠端電漿源。
控制器131耦接至腔室101。控制器131包含處理器123、耦接至處理器123的溫度控制器122、耦接至處理器123的記憶體124和耦接至處理器123的輸入/輸出裝置125。
在一實施例中,處理器123具有第一構造,用以使用包含第一化學品的下游電漿,控制改質部分超低k介電層。第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。處理器123具有第二構造,用以使用包含第二化學品的實質無離子下游電漿,控制蝕刻超低k介電層的改質部分。第二化學品係氟化氮、氨、氫或上述任一組合物,此將進一步詳述於後。
在一實施例中,處理器123具有第三構造,用以控制使超低k介電層昇華而移除蝕刻副產物。處理器123具有第四構造,用以維持偏壓功率小於或等於100W。處理器123具有第五構造,用以持續反覆進行改質、蝕刻及昇華,直到超低k介電層經蝕刻達預定深度為止,此將進一步詳述於後。在一實施例中,記憶體124儲存第一組參數來控制改質部分超低k介電層,及儲存第二組參數來控制蝕刻超低k介電層的改質部分。控制器911配置以進行所述方法,且可為軟體
或硬體或二者組合物。
系統100可為此領域已知的任何高性能半導體處理腔室類型,例如蝕刻器、清洗器、爐膛或任何其他電子裝置製造系統,但不以此為限。系統100可代表位於美國加州聖克拉拉的Applied Materials公司製造的系統之一。
第2A圖圖示根據一實施例,電子裝置結構200的側視圖。電子裝置結構200包含超低k(ULK)介電層202於基板201上。在一實施例中,電子裝置結構200代表第1圖所示工件105。在一實施例中,ULK介電層202的介電常數K接近或小於2.2。在一實施例中,ULK介電層202係奈米多孔SiOC、芳香族高分子或電子裝置製造領域的一般技術人士已知的任何其他ULK介電層。在一實施例中,ULK介電層202係適於隔絕相鄰裝置及防止漏電的絕緣層。在一實施例中,ULK介電層202係氧化層,例如氧化矽或取決於電子裝置設計的任何其他電氣絕緣層。在一實施例中,ULK介電層202係氧化矽(例如SiO2)層、氮化矽層、氧化鋁(Al2O3)、氮氧化矽(SiON)、其他氧化層/氮化層、上述任一組合物或取決於電子裝置設計的其他電氣絕緣層。在一實施例中,ULK介電層202包含層間介電層(ILD),例如二氧化矽。在一實施例中,ULK介電層202包括聚亞醯胺、環氧樹脂、光可定義材料,例如苯并環丁烯(BCB)和WPR系列材料、或旋塗玻璃。
導電層203沉積於基板201上。導電層203包含複數個特徵結構,例如在ULK層202的部分212與213間的特
徵結構204(例如導線、內連線或電子裝置製造領域的一般技術人士已知的任何其他導電特徵結構)。
在一實施例中,基板201包括半導體材料,例如單晶矽(Si)、鍺(Ge)、矽鍺(SiGe)、III-V族材料系材料(例如砷化鎵(GaAs))或上述任一組合物。在一實施例中,基板201包括積體電路用金屬化互連層。在一實施例中,基板包括金屬化1(M1)層。
在一實施例中,基板201包括電子裝置,例如電晶體、記憶體、電容、電阻、光電裝置、開關和由電氣絕緣層隔開的任何其他主動與被動電子裝置,例如層間介電層、溝槽絕緣層或電子裝置製造領域的一般技術人士已知的任何其他絕緣層。在至少一些實施例中,基板201包括配置以連接金屬化層的內連線,例如通孔。在一實施例中,基板201係半導體覆絕緣(SOI)基板,包括大塊下基板、中間絕緣層和上單晶層。上單晶層可包含上列任一材料,例如矽。
導電層203的特徵結構包含金屬。在一實施例中,導電層203的特徵結構用材料為銅(Cu)、鋁(Al)或上述組合物。在其他實施例中,導電特徵結構用材料包括鋁(Al)、銅(Cu)、銦(In)、錫(Sn)、鉛(Pb)、銀(Ag)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、金(Au)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鉿(Hf)、組(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、鉑(Pt)或上述任一組合物。在一實施例中,ULK層201的厚度為約2奈米(nm)至約1微米(μm)。
在一實施例中,導電層203的厚度為約2奈米(nm)至約1微米(μm)。
如第2A圖所示,使用包含第一化學品的下游電漿206,選擇性改質基板202上超低k介電層202的表面部分205達深度215。如第2A圖所示,導電層203的導電特徵結構仍未受到下游電漿206改質。在一實施例中,如第1圖所示,下游電漿206係由遠端電漿源產生的實質無離子下游電漿。在一實施例中,下游電漿206含有中性原子及/或分子自由基,例如自由基216。在一實施例中,電漿206中的離子量實質很少,故導電特徵結構204和ULK介電質的改質表面部分205不會遭離子轟擊損壞,同時仍可保持改質操作的非等向特性。在一實施例中,下游電漿206含有第一化學品的原子與分子自由基,第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。在一實施例中,ULK層202的表面部分205經改質成可由後續蝕刻操作化學移除的狀態,此將進一步詳述於後。在一實施例中,藉由調整參數組,以控制改質ULK層202的表面部分205。在一實施例中,改質控制參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。分子、高分子鏈或改質部分(例如部分205)間的鍵結比ULK介電層202的其餘部分(例如部分214)少。在一實施例中,改質部分的深度215為約1nm至約4nm,更特定言之為約2nm至約3nm。在另一實施例中,改質部分的深度為約1nm至約100nm。
在一實施例中,利用包含第一化學品的下游電漿,
在約300毫托耳至約800毫托耳壓力下,更特定言之為在約500毫托耳下,改質部分ULK介電質。在一實施例中,利用包含第一化學品的下游電漿,改質部分ULK介電質,計約10秒至約120秒持續時間。在更特定實施例中,利用包含第一化學品的下游電漿,改質部分ULK介電質,計約20秒至約30秒持續時間。
在一實施例中,利用包含第一化學品的下游電漿,以小於或等於100瓦(W)的偏壓功率改質部分ULK介電質。在一實施例中,利用包含第一化學品的下游電漿,以小於或等於100瓦(W)的偏壓功率改質部分ULK介電質。在一實施例中,利用包含第一化學品的下游電漿,以30W至約60W的偏壓功率,更特定言之為約50W,改質部分ULK介電質。
在一實施例中,利用包含第一化學品的下游電漿,以約30℃至約60℃的溫度,更特定言之為約50℃,改質部分ULK介電質。
在一實施例中,包含第一化學品的氣體流量為約200標準立方公分每分鐘(sccm)至約600sccm,更特定言之為約400sccm至約500sccm,並且供應到遠端電漿源來改質部分ULK介電質。
第2B圖係類似第2A圖的視圖210,該圖說明根據一實施例使用包含第二化學品的下游電漿,蝕刻超低k介電層的改質部分。如第2B圖所示,包含第二化學品的實質無離子下游電漿207沿著垂直方向選擇性蝕去ULK介電層202的改質部分,而留下導電特徵結構和ULK介電層202的未改質
部分完好無缺。
在一實施例中,如第1圖所示,下游電漿207係由遠端電漿源產生的實質無離子下游電漿。下游電漿207含有中性原子及/或分子自由基,例如自由基217。在一實施例中,電漿207中的離子量實質很少,故導電特徵結構204和ULK介電質不會遭離子轟擊損壞,同時仍可保持蝕刻操作的非等向特性。在一實施例中,下游電漿207含有第二化學品的原子與分子自由基,第二化學品係氟化氮、氨、氫或上述任一組合物。
在一實施例中,藉由調整參數組,以控制蝕刻ULK層202的改質表面部分。在一實施例中,改質控制參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。在一實施例中,ULK層202的蝕刻深度對應改質部分的深度215。
在一實施例中,利用包含第二化學品的下游電漿,在大於改質ULK介電質表面部分時壓力的壓力下,蝕刻ULK介電層的改質部分。在一實施例中,蝕刻ULK介電層改質部分時的壓力為約2000毫托耳至約7000毫托耳,更特定言之為約5000毫托耳。
在一實施例中,利用包含第二化學品的下游電漿,蝕刻ULK介電質的改質部分,計約10秒至約120秒持續時間。在更特定實施例中,利用包含第二化學品的下游電漿,蝕刻ULK介電質的改質部分,計約20秒至約30秒持續時間。在一實施例中,利用包含第二化學品的下游電漿,以小於或
等於100瓦(W)的偏壓功率蝕刻ULK介電質的改質部分。在一實施例中,利用包含第二化學品的下游電漿,以30W至約60W的偏壓功率,更特定言之為約50W,蝕刻ULK介電質的改質部分。
在一實施例中,利用包含第二化學品的下游電漿,以約30℃至約60℃的溫度,更特定言之為約50℃,蝕刻ULK介電質的改質部分。在一實施例中,降低放置工件的基座溫度以提高蝕刻速率。
在一實施例中,包含第二化學品的氣體流量為約10sccm至約2000sccm,更特定言之為約20sccm至約1000sccm,並且供應到遠端電漿源來蝕刻ULK介電質的改質部分。在一實施例中,提高第二化學品的氣體流率以提高ULK介電質改質部分的蝕刻速率。
在一實施例中,調整改質操作的一或更多參數,以控制蝕刻ULK介電層的改質部分。在一實施例中,增加改質時間以增加ULK材料移除量。在一實施例中,提高改質氣體流率以提高ULK介電層改質部分的蝕刻速率。
在一實施例中,改質化學品(第一化學品)會影響蝕刻操作和表面粗糙度。在一實施例中,使用氮改質ULK材料以提供較低表面粗糙度。
在一實施例中,調整改質及蝕刻操作的一或更多參數,以最小化粗糙度和殘留及最大化蝕刻均勻度。在一實施例中,沿著尺寸約300mm半導體晶圓的ULK介電層蝕刻均勻度為約±2nm。如第2B圖所示,蝕刻ULK層202的改質部
分將產生副產物208(例如鹽類)殘留在ULK介電層202和導電層202的導電特徵結構上。
第2C圖係類似第2B圖的視圖220,該圖說明根據一實施例使超低k介電層昇華而移除蝕刻副產物。通常,ULK材料的蝕刻速率係反應物輸送到ULK材料表面、反應物吸附於ULK材料表面、擴散通過ULK材料表面的副產物、化學反應速率和副產物自ULK材料脫附的函數。在高晶圓溫度下,蝕刻受到淨通量限制:吸附-脫附。表面改質可促進吸附及加強蝕刻速率。
在一實施例中,昇華涉及加熱209超低k介電層。在一實施例中,藉由加熱ULK介電層202,以移除副產物208。在一實施例中,如第1圖所示,藉由提高埋置於ESC內的加熱元件的溫度,以提高ULK層202的溫度。在一實施例中,以約80℃至約150℃的溫度,更特定言之為約110℃,加熱蝕刻ULK介電質202,計至少60秒持續時間,以自蝕刻ULK層202移除副產物208。在一實施例中,持續反覆進行改質、蝕刻及昇華操作順序,直到超低k介電層202經蝕刻達預定深度為止。在另一實施例中,持續反覆進行改質、蝕刻及昇華操作順序,直到完全自基板201蝕去超低k介電層202為止。
第2D圖係類似第2C圖的視圖230,該圖為根據一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。如第2D圖所示,持續反覆進行改質、蝕刻及昇華操作,直到超低k介電層203經蝕刻達預定深度211為止。如第2D圖所示,
導電特徵結構204和ULK層202無蝕刻副產物、頂部圓角和底切。
第2E圖係類似第2D圖的視圖240,該圖為根據另一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。如第2E圖所示,持續反覆進行改質、蝕刻及昇華操作,直到完全自基板201蝕去超低k介電層202為止。如第2E圖所示,導電特徵結構204和基板201無蝕刻副產物、頂部圓角和底切。
第3A圖圖示根據一實施例,電子裝置結構300的側視圖。電子裝置結構300包含超低k(ULK)介電層302於基板301上。在一實施例中,電子裝置結構300代表第1圖所示工件105。在一實施例中,ULK介電層係上述ULK介電層之一。氧化層303沉積在ULK介電層302上。在一實施例中,氧化層303係氧化矽(例如SiO2、SiO)、氮氧化矽、氧化鋁或取決於電子裝置設計、為一般電子裝置製造技術人士已知的任何其他氧化層。可利用沉積技術沉積氧化層303,例如化學氣相沉積(CVD)(例如電漿加強化學氣相沉積(PECVD))、物理氣相沉積(PVD)、分子束磊晶(MBE)、金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)或電子裝置製造領域的一般技術人士已知的其他沉積技術,但不以此為限。在一實施例中,氧化層303的厚度為約1nm至約50nm。圖案化導電層304沉積在氧化層303上。導電層304包含複數個特徵結構,例如特徵結構305(例如導線、內連線或電子裝置製造領域的一般技術人士已知的任何其他導
電特徵結構)。導電層304代表上述導電層之一。
在一實施例中,基板301代表上述基板之一。如第3A圖所示,使用包含第一化學品的下游電漿307,經由氧化層303未被導電層304覆蓋的部分314選擇性改質超低k介電層302的表面部分306達預定深度。如第3A圖所示,具導電層304下部的導電特徵結構305仍未受到下游電漿307改質。在一實施例中,下游電漿307代表上述包含化學品來改質部分ULK層的下游電漿之一。在一實施例中,藉由調整參數組,以控制改質ULK層302的表面部分306。在一實施例中,改質控制參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。如上所述,分子、高分子鏈或改質部分306間的鍵結比ULK介電層302的其餘部分少。在一實施例中,改質部分306的深度為約1nm至約4nm,更特定言之為約2nm至約3nm。在另一實施例中,上述改質部分306的深度為約1nm至約100nm。
第3B圖係類似第3A圖的視圖310,該圖說明根據一實施例使用包含第二化學品的下游電漿,蝕刻氧化層303的改質部分和超低k介電層302的底下改質部分。如第3B圖所示,包含第二化學品的實質無離子下游電漿308沿著垂直方向選擇性蝕去氧化層303與ULK介電層302的改質部分,而留下導電特徵結構和氧化層303與ULK介電層302的未改質部分完好無缺。
在一實施例中,下游電漿308代表上述包含化學品來蝕刻ULK介電層改質部分的下游電漿之一。在一實施例
中,藉由調整參數組,以控制蝕刻上述氧化層303與ULK層303的改質部分。在一實施例中,上述改質控制參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。在一實施例中,ULK介電層302的蝕刻深度對應改質部分306的深度。如第3B圖所示,蝕刻氧化層303與ULK層302的改質部分將產生副產物309(例如矽基沉積物、鹽類)沉積在ULK介電層302和導電層302的導電特徵結構上。
第3C圖係類似第3B圖的視圖320,該圖說明根據一實施例蝕刻氧化層和ULK介電層的改質部分後形成的沉積層311。如第3C圖所示,包含副產物309的沉積層311形成在導電層304的特徵結構305、溝槽316的底部324與側壁315上,溝槽316蝕入ULK介電層302內。在一實施例中,矽基沉積層311的厚度小於10nm。
第3D圖係類似第3C圖的視圖330,該圖說明根據一實施例使超低k介電層302昇華而移除沉積層311。
在一實施例中,昇華涉及加熱312上述基板301上的超低k介電層302。在一實施例中,藉由加熱312,以自上述導電層、氧化層303和ULK層302移除包含副產物309的沉積層311。在一實施例中,持續反覆進行改質、蝕刻及昇華操作順序,直到導電層304露出的部分超低k介電層302經蝕刻達預定深度為止。在另一實施例中,持續反覆進行上述改質、蝕刻及昇華操作順序,直到完全自基板302蝕去超低k介電層302的露出部分為止。
第3E圖係類似第3D圖的視圖340,該圖為根據一
實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。如第3E圖所示,持續反覆進行改質、蝕刻及昇華操作,直到超低k介電層302經蝕刻達預定深度313為止。如第3E圖所示,導電層304的導電特徵結構、氧化層303和ULK層202無蝕刻副產物、頂部圓角和底切。
第4A圖圖示根據一實施例,電子裝置結構400的側視圖。電子裝置結構400包含超低k(ULK)介電層402於基板401上。在一實施例中,電子裝置結構400代表第1圖所示工件105。在一實施例中,ULK介電層402係上述ULK介電層之一。ULK介電層402包含溝槽(例如溝槽413)和鰭片(例如鰭片416)。溝槽413具有底部407和側壁414。導電層404包含複數個特徵結構,例如特徵結構405,且沉積在ULK介電層402的鰭片上。導電層404代表上述導電層之一。氧化層403沉積在導電層404的特徵結構底下ULK介電層402的鰭片上。氧化層403代表上述氧化層之一。
在一實施例中,基板401代表上述基板之一。如第3A圖所示,保護層406沉積在導電層403和ULK介電層402的側壁414上。在一實施例中,保護層406係原位沉積供側壁保護的薄氧化層。在一實施例中,保護層406與ULK膜的改質部分由製程後續的昇華操作同時移除。在一實施例中,保護層406係三甲基矽烷基氧化物(例如「TMS/O2」)或其他氧化層。在一實施例中,保護層406係利用電漿加強化學氣相沉積(PECVD)技術供應含氧沉積化學品的氣體至電漿腔室,藉以原位沉積而得。在其他實施例中,保護層406係
利用其他沉積技術沉積,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、分子束磊晶(MBE)、金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)或電子裝置製造領域的一般技術人士已知的其他沉積技術,但不以此為限。在一實施例中,保護層403的厚度為約2nm至約50nm。
第4B圖係類似第4A圖的視圖410,該圖圖示根據一實施例使用包含第一化學品的下游電漿408,選擇性改質達預定深度的溝槽底部407,例如超低k介電層413的底部407。如第4B圖所示,導電特徵結構405和ULK介電層402與氧化層403的下部側壁仍未受到下游電漿408改質。在一實施例中,下游電漿408代表上述包含化學品來改質部分ULK層的下游電漿之一。在一實施例中,藉由調整參數組,以控制改質ULK層402中的溝槽底部。在一實施例中,改質控制參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。如上所述,分子、高分子鏈或改質部分407間的鍵結比ULK介電層402的其餘部分少。在一實施例中,改質部分407的深度為約1nm至約4nm,更特定言之為約2nm至約3nm。在另一實施例中,上述改質部分407的深度為約1nm至約100nm。
第4C圖係類似第4B圖的視圖420,該圖說明根據一實施例使用包含第二化學品的下游電漿,蝕刻超低k介電層402的改質部分。如第4C圖所示,包含第二化學品的實質無離子下游電漿409沿著垂直方向選擇性蝕去ULK介電層402的改質部分。如第4C圖所示,使用包含第二化學品的實
質無離子下游電漿409蝕去保護層406。如第4C圖所示,在此蝕刻操作下,ULK介電層402中的導電特徵結構和溝槽側壁414仍完好無缺。
在一實施例中,下游電漿409代表上述包含化學品來蝕刻ULK介電層改質部分的下游電漿之一。在一實施例中,藉由調整參數組,以控制蝕刻上述ULK層402的改質部分。在一實施例中,改質控制參數包含壓力、持續時間、功率、溫度、氣體流量或上述任一組合物。在一實施例中,ULK介電層402的蝕刻深度對應改質部分407的深度。如第4C圖所示,蝕刻ULK層402的改質部分將產生副產物411(例如矽基沉積物、鹽類)於ULK介電層402和導電層404的導電特徵結構上。
第4D圖係類似第4C圖的視圖430,該圖說明根據一實施例使超低k介電層402昇華412而移除副產物411。在一實施例中,昇華涉及加熱417上述超低k介電層402。
在一實施例中,持續反覆進行改質、蝕刻及昇華操作順序,直到導電層304露出的超低k介電層402經蝕刻達預定深度為止。在另一實施例中,持續反覆進行上述改質、蝕刻及昇華操作順序,直到完全自基板302蝕去超低k介電層402的露出部分為止。
第4E圖係類似第4D圖的視圖440,該圖為根據一實施例持續反覆進行改質、蝕刻及昇華操作後的示意圖。如第4E圖所示,持續反覆進行改質、蝕刻及昇華操作,直到超低k介電層402經蝕刻達預定深度413為止。如第4E圖所示,
導電層404的導電特徵結構、氧化層403和ULK層402無蝕刻副產物、頂部圓角和底切。
第5圖圖示根據一實施例的示例性掃描式電子顯微鏡(SEM)圖像的視圖500,該圖說明在改質後501及在蝕刻後502,具導電特徵結構於ULK介電材料上的電子裝置結構。如第5圖的圖像501所示,使用氮(N2)、氬(Ar)與氦(He)改質ULK介電質後的電子裝置結構具有實質減低的表面粗糙度且實質無頂部圓角、殘留和底切。如第5圖的圖像502所示,使用NH3、H2基化學品蝕刻ULK介電質後的電子裝置結構具有實質減低的表面粗糙度且實質無頂部圓角、殘留和底切。
如此領域一般技術人士所知,離子或電子轟擊引發電漿反應物種與ULK材料表面間化學反應造成的ULK材料蝕刻具方向性。通常,方向性蝕刻遮蔽ULK層產生的蝕刻輪廓呈非等向性且無底切。相較於方向性蝕刻,非方向性蝕刻產生的蝕刻輪廓呈等向性且具底切。
在一實施例中,具極佳方向性蝕刻能力的對稱電漿蝕刻工具(例如Capa腔室)用於凹陷ULK介電質。在一實施例中,凹陷ULK介電質涉及使用氮、氦或氬,以適當調整的偏壓功率、壓力和氣體流量預處理(改質)ULK材料表面,然後使用NF3、NH3或NF3與NH3基化學品,以適當溫度(例如約50℃)進行Siconi蝕刻製程,以在銅線特徵結構周圍無側向損失和ULK材料底切的情況下移除ULK達目標深度。
在一實施例中,使用對稱電漿腔室主體(例如C3
腔室主體)和遠端電漿源(例如Siconi源)蝕刻ULK材料有利於在銅線底下無銅損壞和ULK材料底切的情況下移除ULK材料。如第5圖所示,以所述方法蝕刻ULK材料而得的蝕刻輪廓為垂直且無側向蝕刻。原因在於使用對稱電漿腔室主體(例如C3腔室主體),及遠端電漿源(例如Siconi源)係遠端電漿輔助乾蝕刻製程,此涉及使ULK材料接觸NF3/NH3或NF3/H2電漿副產物。遠端電漿激發氫與氟物種有利於無電漿損壞處理ULK介電質。Siconi製程會產生鹽類,移除ULK介電質時,鹽類將在ULK介電質基板表面生成。
在一實施例中,蝕刻劑吸附與脫附間的平衡對ULK材料移除製程而言很重要。當基板溫度提高至110℃時,固態副產物隨後將透過昇華移除。調整製程參數,例如ESC溫度、Siconi壓力、NF3/NH3或NF3/H2氣體比率和總氣體流量,以減少蝕刻殘留。與現存技術相比,所述實施例有利於達成更高ULK材料蝕刻速率、更平滑ULK蝕刻表面,且銅線特徵結構無頂部圓角及銅線底下無ULK底切。採用所述方法亦可達成ULK中心/邊緣蝕刻均勻度。在一實施例中,改質化學品(例如氮氣、氦氣、氬氣)、改質時間、改質ULK介電質用偏壓功率將控制ULK介電質蝕刻速率、ULK介電質蝕刻表面粗糙度、銅頂部圓角。在一實施例中,用於蝕刻的NF3/NH3或NF3/H2化學品總流量一同和遠端電漿源控制ULK蝕刻性能的表面殘留。所述方法和設備可用於後段製程(BEOL)阻障層蝕刻、邏輯觸點蝕刻、前段製程(FEOL)自行對準觸點(SAC)蝕刻、氮化物間隔物蝕刻,及用於其他電子裝置製造應用。
第6圖圖示資料處理系統609的實施例方塊圖,用於控制電漿系統以凹陷所述ULK介電質。資料處理系統600可代表第1圖所示控制系統131。在至少一些實施例中,資料處理系統600控制電漿系統100進行操作,操作涉及所述使用包含第一化學品的下游電漿,改質基板上的部分超低k介電層;使用包含第二化學品的下游電漿,蝕刻基板上的超低k介電質的改質部分;及使超低k介電質昇華而移除蝕刻副產物。
在替代實施例中,資料處理系統可連接(如網路聯結)區域網路(LAN)、企業內部網路、企業外部網路或網際網路中的其他機器。資料處理系統可由主從網路環境中的伺服器或客戶機操作,或當作同級間(或分散式)網路環境中的同級點機器。
資料處理系統可為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、手機、網路設備、伺服器、網路路由器、交換機或橋接器或任何能(循序或按其他方式)執行指令集的機器,指令集指定資料處理系統執行動作。另外,雖然只圖示單一資料處理系統,但「資料處理系統」一詞亦應視同包括任何資料處理系統的集合,該等資料處理系統個別或共同執行一組(或多組)指令,以進行本文所述任一或更多方法。
示例性資料處理系統600包括處理器602、主記憶體604(例如唯讀記憶體(ROM)、快閃記憶體、諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等動態隨機
存取記憶體(DRAM))、靜態記憶體606(例如快閃記憶體、靜態隨機存取記憶體(SRAM)等)和次記憶體618(例如資料儲存裝置),處理器602、記憶體604、606、618透過匯流排630互相通信連接。
處理器602代表一或更多通用處理裝置,例如微處理器、中央處理單元等。更特別地,處理器602可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字組(VLIW)微處理器、實行其他指令集的處理器、或實行指令集組合的處理器。處理器602亦可為一或更多特殊用途處理裝置,例如特定功能積體電路(ASIC)、場可程式閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等。處理器602配置以執行處理邏輯626,以進行本文所述操作。
電腦系統600可進一步包括網路介面裝置608。電腦系統600亦可包括視訊顯示單元610(例如液晶顯示器(LCD)、發光二極體顯示器(LED)、或陰極射線管(CRT)等)、文數輸入裝置612(例如鍵盤)、游標控制裝置614(例如滑鼠)和訊號產生裝置616(例如揚聲器)。
次記憶體618可包括機器可存取儲存媒體(或更特定言之為電腦可讀取儲存媒體)630,機器可存取儲存媒體630儲存收錄所述任一或更多方法或功能的一或更多組指令(例如軟體622)。軟體622亦可完全或至少部分常駐在主記憶體604及/或處理器602內,電腦系統600執行時,主記憶體604和處理器602亦構成機器可讀取儲存媒體。軟體622可進一
步透過網路介面裝置608在網路620上傳送或接收。
雖然在一示例性實施例中,機器可存取儲存媒體630係圖示為單一媒體,但「機器可讀取儲存媒體」一詞應視同包括單一媒體或多個媒體(例如集中式或分散式資料庫及/或相關高速緩衝儲存器和伺服器),用以儲存一或更多組指令。「機器可讀取儲存媒體」一詞亦應視同包括任何能儲存或編碼機器執行的指令集而使機器進行本發明之任一或更多方法的媒體。因此,「機器可讀取儲存媒體」一詞宜視同包括固態記憶體和光學與磁性媒體,但不以此為限。
本發明實施例已以特定示例性實施例揭示如上。應明白在不脫離本發明實施例的精神和範圍內,當可作各種更動與潤飾,本發明的保護範圍視後附申請專利範圍所界定者為準。因此,說明書和圖式應視為說明之用、而非限定之意。
100‧‧‧電漿系統
101‧‧‧處理腔室
102‧‧‧基座
104‧‧‧靜電夾頭
105‧‧‧工件
106‧‧‧RF電源
107、108‧‧‧電漿
109‧‧‧噴淋頭
110‧‧‧阻斷板
111‧‧‧製程氣體
112‧‧‧質量流量控制器
113‧‧‧間隙
114‧‧‧壓力控制系統
115‧‧‧開口
116‧‧‧出口
117‧‧‧DC電源
118、119‧‧‧偏壓功率
120‧‧‧RF匹配
121‧‧‧冷卻/加熱基底
122‧‧‧溫度控制器
123‧‧‧處理器
124‧‧‧記憶體
125‧‧‧輸入/輸出裝置
126‧‧‧電極
127‧‧‧遠端電漿源
128‧‧‧入口
129‧‧‧電漿腔穴
131‧‧‧襯層/控制器
Claims (20)
- 一種製造一電子裝置的方法,包含下列步驟:使用包含一第一化學品的一下游電漿,改質在一基板上一部分的一超低k介電層;及使用包含一第二化學品的該下游電漿,蝕刻該超低k介電層的該改質部分,其中該下游電漿係由一遠端電漿源產生。
- 如請求項1所述之方法,進一步包含下列步驟:使該超低k介電層昇華,以移除一蝕刻副產物。
- 如請求項1所述之方法,進一步包含下列步驟:供應包含該第一化學品的一氣體至該遠端電漿源;及供應包含該第二化學品的一氣體至該遠端電漿源。
- 如請求項1所述之方法,其中該第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。
- 如請求項1所述之方法,其中該第二化學品係氟化氮、氨、氫或上述任一組合物。
- 如請求項1所述之方法,進一步包含下列步驟:調整一第一組參數,以控制改質,其中該第一組參數包含一壓力、一持續時間、一功率、一溫度、一氣體流量或上述任一組合物。
- 如請求項1所述之方法,其中該下游電漿係一實質無離子電漿,其中該超低k介電層的一介電常數K不大於2.2。
- 一種凹陷一超低k介電層的方法,包含下列步驟:使用包含一第一化學品的一下游電漿,改質在一基板上一部分導電層間的一超低k介電層的一部分;使用包含一第二化學品的該下游電漿,蝕刻該超低k介電層的該改質部分;及使該超低k介電層昇華,以移除一蝕刻副產物。
- 如請求項8所述之方法,其中該改質步驟係以小於或等於100W的一偏壓功率進行,其中持續反覆進行該改質、蝕刻和昇華步驟,直到該超低k介電層經蝕刻達一預定深度為止。
- 如請求項8所述之方法,其中一保護氧化層沉積在該超低k介電層上。
- 如請求項8所述之方法,其中該第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。
- 如請求項8所述之方法,其中該第二化學品係氟化氮、氨、氫或上述任一組合物。
- 如請求項8所述之方法,其中該改質與蝕刻步驟的至少一者係藉由調整一持續時間、一功率、一壓力、一溫度、一氣體流量或上述任一組合物而控制。
- 如請求項8所述之方法,其中加熱該超低k介電層,藉以進行該昇華步驟。
- 一種凹陷超低k介電層以製造電子裝置的設備,包含:一基座,用以支撐一工件,該工件包含一超低k介電層於一基板上;一入口,用以輸入包含一第一化學品或一第二化學品的一氣體以提供至該工件;一遠端電漿源,耦接至該入口,該遠端電漿源包含一阻斷板,用以產生實質無離子的一下游電漿,其中該阻斷板用於防止該電漿的離子抵達該工件;及一處理器,耦接至該遠端電漿源,其中該處理器具有一第一構造,用以使用包含該第一化學品的該下游電漿,控制改質一部分的該超低k介電層,其中該處理器具有一第二構造,用以使用包含該第二化學品的該實質無離子下游電漿,控制蝕刻該超低k介電層的該改質部分。
- 如請求項15所述之設備,其中該處理器具有一第三構造,用以控制使該超低k介電層昇華而移除一蝕刻副產物。
- 如請求項16所述之設備,其中該處理器具有一第四構造,用以維持一偏壓功率小於或等於100W,其中該處理器具有一第五構造,用以持續反覆進行該改質、蝕刻和昇華步驟,直到該超低k介電層經蝕刻達一預定深度為止。
- 如請求項15所述之設備,其中該第一化學品係氬、氦、其他鈍氣、氮、氫或上述任一組合物。
- 如請求項15所述之設備,其中該第二化學品係氟化氮、氨、氫或上述任一組合物。
- 如請求項15所述之設備,進一步包含一記憶體,耦接至該處理器,用以儲存一第一組參數來控制該改質步驟,及儲存一第二組參數來控制該蝕刻步驟。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/152,978 | 2014-01-10 | ||
US14/152,978 US20150200042A1 (en) | 2014-01-10 | 2014-01-10 | Recessing ultra-low k dielectric using remote plasma source |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201532139A true TW201532139A (zh) | 2015-08-16 |
TWI630654B TWI630654B (zh) | 2018-07-21 |
Family
ID=53521932
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103146114A TWI630654B (zh) | 2014-01-10 | 2014-12-29 | 使用遠端電漿源以凹陷超低k介電質 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20150200042A1 (zh) |
TW (1) | TWI630654B (zh) |
WO (1) | WO2015105673A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI751637B (zh) * | 2015-09-04 | 2022-01-01 | 美商應用材料股份有限公司 | 用於循環與選擇性材料移除與蝕刻的處理腔室 |
Families Citing this family (87)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8617411B2 (en) * | 2011-07-20 | 2013-12-31 | Lam Research Corporation | Methods and apparatus for atomic layer etching |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9502418B2 (en) * | 2014-10-02 | 2016-11-22 | International Business Machines Corporation | Semiconductor devices with sidewall spacers of equal thickness |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
TWI722132B (zh) * | 2016-03-13 | 2021-03-21 | 美商應用材料股份有限公司 | 用於間隔墊應用之氮化矽薄膜的選擇性沉積 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
WO2018022510A1 (en) * | 2016-07-25 | 2018-02-01 | Tokyo Electron Limited | Monolayer film mediated precision material etch |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) * | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) * | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
TWI816676B (zh) * | 2017-06-14 | 2023-10-01 | 美商應用材料股份有限公司 | 用於達成無缺陷自組裝單層的晶圓處理 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
JP7144283B2 (ja) * | 2018-11-09 | 2022-09-29 | 株式会社ニューフレアテクノロジー | 気相成長装置 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11508676B2 (en) * | 2019-05-15 | 2022-11-22 | Intel Corporation | Density-graded adhesion layer for conductors |
US11972943B2 (en) | 2019-09-20 | 2024-04-30 | Applied Materials, Inc. | Methods and apparatus for depositing dielectric material |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4698024B2 (ja) * | 1998-07-23 | 2011-06-08 | サーフィス テクノロジー システムズ ピーエルシー | 異方性エッチングのための方法と装置 |
US6921727B2 (en) * | 2003-03-11 | 2005-07-26 | Applied Materials, Inc. | Method for modifying dielectric characteristics of dielectric layers |
US7140374B2 (en) * | 2003-03-14 | 2006-11-28 | Lam Research Corporation | System, method and apparatus for self-cleaning dry etch |
JP2007537602A (ja) * | 2004-05-11 | 2007-12-20 | アプライド マテリアルズ インコーポレイテッド | フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング |
JP2008532271A (ja) * | 2005-02-22 | 2008-08-14 | エーエスエム アメリカ インコーポレイテッド | 原子層堆積のための表面のプラズマ前処理 |
US20060228889A1 (en) * | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US7695567B2 (en) * | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US8890318B2 (en) * | 2011-04-15 | 2014-11-18 | International Business Machines Corporation | Middle of line structures |
US8741775B2 (en) * | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
KR20130022433A (ko) * | 2011-08-22 | 2013-03-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 애쉬-후 측벽 힐링 |
US9666414B2 (en) * | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
-
2014
- 2014-01-10 US US14/152,978 patent/US20150200042A1/en not_active Abandoned
- 2014-12-19 WO PCT/US2014/071662 patent/WO2015105673A1/en active Application Filing
- 2014-12-29 TW TW103146114A patent/TWI630654B/zh active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI751637B (zh) * | 2015-09-04 | 2022-01-01 | 美商應用材料股份有限公司 | 用於循環與選擇性材料移除與蝕刻的處理腔室 |
US11728139B2 (en) | 2015-09-04 | 2023-08-15 | Applied Materials, Inc. | Process chamber for cyclic and selective material removal and etching |
Also Published As
Publication number | Publication date |
---|---|
TWI630654B (zh) | 2018-07-21 |
WO2015105673A1 (en) | 2015-07-16 |
US20150200042A1 (en) | 2015-07-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI630654B (zh) | 使用遠端電漿源以凹陷超低k介電質 | |
US10049891B1 (en) | Selective in situ cobalt residue removal | |
US9852916B2 (en) | Single platform, multiple cycle spacer deposition and etch | |
TWI672740B (zh) | 用於圖案化的遮罩蝕刻 | |
JP7241705B2 (ja) | 半導体製造における金属ドープ炭素系ハードマスクの除去 | |
TWI524423B (zh) | 蝕刻及灰化期間低k材料之側壁保護 | |
TWI495010B (zh) | 用於互連圖案化之硬遮罩移除時之側壁及倒角保護 | |
US9418867B2 (en) | Mask passivation using plasma | |
US8951913B2 (en) | Method for removing native oxide and associated residue from a substrate | |
US8642473B2 (en) | Methods for contact clean | |
KR101626676B1 (ko) | 반도체 구조물 및 그 제조 방법 | |
CN108962819A (zh) | 半导体装置的制造方法 | |
US20140011339A1 (en) | Method for removing native oxide and residue from a germanium or iii-v group containing surface | |
JP6793711B2 (ja) | 選択的にエッチングされた自己整合ビアプロセス | |
TWI682431B (zh) | 半導體裝置的形成方法 | |
JP7270740B2 (ja) | 3dnand応用のためのメモリセルの製造 | |
TW201611096A (zh) | 利用共形碳薄膜減低臨界尺寸之方法 | |
US20200343087A1 (en) | Pre-Clean for Contacts | |
KR20060090305A (ko) | 플라즈마 처리 방법 | |
US20190164774A1 (en) | Etching method and methods of manufacturing semiconductor device using the same | |
KR102092760B1 (ko) | 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법 | |
JP2023511330A (ja) | サブトラクティブ自己整合のための方法と装置 | |
US11508617B2 (en) | Method of forming interconnect for semiconductor device | |
US11658042B2 (en) | Methods for etching structures and smoothing sidewalls | |
TW202322214A (zh) | 金屬蝕刻方法 |