JP2023511330A - サブトラクティブ自己整合のための方法と装置 - Google Patents

サブトラクティブ自己整合のための方法と装置 Download PDF

Info

Publication number
JP2023511330A
JP2023511330A JP2022543672A JP2022543672A JP2023511330A JP 2023511330 A JP2023511330 A JP 2023511330A JP 2022543672 A JP2022543672 A JP 2022543672A JP 2022543672 A JP2022543672 A JP 2022543672A JP 2023511330 A JP2023511330 A JP 2023511330A
Authority
JP
Japan
Prior art keywords
etch stop
metal
chamber
layer
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022543672A
Other languages
English (en)
Inventor
ホー レン,
ハオ ジャン,
メユール ナイク,
ウェンティン ホウ,
チエンシン レイ,
チェン コン,
ヨン カオ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023511330A publication Critical patent/JP2023511330A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)

Abstract

半導体装置の相互接続構造を形成する方法が記載される。この方法は、物理的気相堆積により基板上にエッチング停止層を堆積させることと、それに続いて前記エッチング停止層上に金属層をインシトゥ堆積させることとを含む。前記インシトゥ堆積は、プラズマ処理ガスをチャンバに流入させ、プラズマ処理ガスをプラズマへと励起して、基板上のエッチング停止層上に金属層を堆積させることを含む。基板は、堆積プロセス中に継続的に真空下にあり、周囲空気に曝露されない。【選択図】図3

Description

[0001]本開示の実施形態は、相互接続構造及び相互接続構造製造の分野に関する。具体的には、本開示の実施形態は、整列したビア及び/又はコンタクトを備える相互接続構造を、サブトラクティブ法を使用して生成するための方法を提供する。
[0002]相互接続構造、例えばパーソナルコンピュータ、ワークステーション、コンピュータサーバ、メインフレームと、プリンタ、スキャナ及びハードディスクドライバといったその他コンピュータ関連機器は、電力消費を抑えながら、実質的なデータストレージの機能と容量を提供するロジック及びメモリデバイスを使用する。フィーチャのスケーリングは、成長を続ける半導体産業の原動力となった。フィーチャをますます小さくスケーリングすることで、半導体チップの制限された領域において機能ユニットの密度を高めることができる。例えば、トランジスタのサイズが縮小することにより、チップ上に組み込まれるメモリ又はロジックデバイスの数を増加させることができ、容量の増加した製品を製造することができる。しかしながら、これまで以上の容量に対する原動力に問題がないわけではない。各デバイスの性能を最適化する必要性がますます重要となっている。
[0003]オンチップの電気的相互接続は、以前は、デバイス構造の種々の層を貫通する開孔が生成され、これら開孔を導電性材料で充填されることで層間と、個々の層の上に位置するデバイスフィーチャ間とに相互接続が形成される、「デュアルダマシン」製造技術を使用して生産されていた。デュアルダマシンは、上方の金属線(Mx)と自己整合したビア(Vx)の形成を可能にすることができる。しかしながら、50nmのピッチ(25nmのハーフピッチ寸法)及びより小さなフィーチャサイズに基づくチップの場合、間隙充填及び抵抗の制約があり、これまで依存していた「デュアルダマシン」製造技術の使用が実施不能である。
[0004]したがって、ライン抵抗とビア抵抗とを改善する処理方法が必要とされている。
[0005]本開示の1つ又は複数の実施形態は、相互接続構造の形成方法を対象とする。1つ又は複数の実施形態では、方法は:基板上にエッチング停止層を堆積させることであって、堆積が物理的気相堆積を含む、エッチング停止層を堆積させることと;エッチング停止層上に金属層をインシトゥ堆積させることであって、インシトゥ堆積が、チャンバにプラズマ処理ガスを流入させ、プラズマ処理ガスをプラズマへと励起して、基板上のエッチング停止層上に金属層を堆積させることを含む、金属層をインシトゥ堆積させることとを含み、基板は、継続的に真空下にあり、周囲空気には曝露されない。
[0006]本開示の別の実施形態は、処理ツールを対象とする。1つ又は複数の実施形態では、処理ツールは:ウエハを移動させるように構成されたロボットを含む中央移送ステーションと;各々が中央移送ステーションに接続されて隣接するプロセスステーションの処理領域から分離した処理領域を提供する、複数のプロセスステーションであって、第1の物理的気相堆積チャンバ及び第2の物理的気相堆積チャンバを含む複数のプロセスステーションとを含む。
[0007]本開示の更なる実施形態は、命令を含む非一時的なコンピュータ可読媒体を対象とする。1つ又は複数の実施形態では、非一時的なコンピュータ可読媒体は、処理チャンバのコントローラによって実行されると、処理チャンバに:基板上にエッチング停止層を堆積させる工程;エッチング停止層上に金属層をインシトゥ堆積させる工程;及び基板を継続的に真空下に維持する工程を実施させる命令を含む。
[0008]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより詳細な説明が実施形態を参照することによって得られ、それら実施形態のいくつかが添付図面に例示される。しかしながら、本開示は他の等しく有効な実施形態を許容し得るので、添付図面は、本開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定するとみなすべきではないことに留意されたい。
[0009]本開示の1つ又は複数の実施形態による物理的気相堆積チャンバの概略断面図である。 [0010]本開示の1つ又は複数の実施形態による基板の断面図である。 [0011]本開示の1つ又は複数の実施形態による基板の断面図である。 [0012]本開示の1つ又は複数の実施形態による基板の断面図である。 [0013]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0014]1つ又は複数の実施形態による図5の相互接続構造の断面図である。 [0015]1つ又は複数の実施形態による図5の相互接続構造の上面図である。 [0016]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0017]1つ又は複数の実施形態による図6の相互接続構造の断面図である。 [0018]1つ又は複数の実施形態による図6の相互接続構造の上面図である。 [0019]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0020]1つ又は複数の実施形態による図7の相互接続構造の断面図である。 [0021]1つ又は複数の実施形態による図7の相互接続構造の上面図である。 [0022]1つ又は複数の実施形態による相互接続構造の断面図である。 [0023]1つ又は複数の実施形態による相互接続構造の断面図である。 [0024]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0025]1つ又は複数の実施形態による図10の相互接続構造の断面図である。 [0026]1つ又は複数の実施形態による図10の相互接続構造の上面図である。 [0027]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0028]1つ又は複数の実施形態による図11の相互接続構造の断面図である。 [0029]1つ又は複数の実施形態による図7の相互接続構造の上面図である。 [0030]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0031]1つ又は複数の実施形態による図12の相互接続構造の断面図である。 [0032]1つ又は複数の実施形態による図12の相互接続構造の上面図である。 [0033]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0034]1つ又は複数の実施形態による図13の相互接続構造の断面図である。 [0035]1つ又は複数の実施形態による図13の相互接続構造の上面図である。 [0036]本開示の1つ又は複数の実施形態による相互接続構造の等角図である。 [0037]1つ又は複数の実施形態による図14の相互接続構造の断面図である。 [0038]1つ又は複数の実施形態による図14の相互接続構造の上面図である。 [0039]本開示の1つ又は複数の実施形態による方法のフロー図である。 [0040]1つ又は複数の実施形態によるクラスタツールを示している。
[0041]本開示のいくつかの例示的な実施形態を記載する前に、本開示が、以下の説明において提示される構成又は処理ステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実施又は実行することができる。
[0042]本明細書及び特許請求の範囲で使用される「前駆体」、「反応物質」、「反応性ガス」などの用語は、基板表面と反応することのできる任意のガス種を指すために交換可能に使用される。
[0043]本明細書で使用される「基板」は、製造プロセス中に膜処理が実施される任意の基板又は基板上に形成された材料表面を指す。例えば、処理を実施することのできる基板表面は、用途に応じて、シリコン、酸化ケイ素、歪みシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、並びに金属、金属窒化物、金属合金、及びその他の導電性材料といった他の任意の材料を含む。基板は半導体ウエハを含むが、それに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、前処理プロセスに曝露され得る。本開示では、基板自体の表面に対する直接的な膜処理に加えて、開示される膜処理ステップのうちのいずれをも、後述でより詳細に開示される基板上に形成された下部層に対しても実施することができ、「基板表面」という用語は、文脈が示すように、このような下部層を含むことを意図している。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合、新たに堆積される膜/層の露出表面が基板表面となる。
[0044]1つ又は複数の実施形態は、有利には、相互接続を形成する統合プロセスを提供する。1つ又は複数の実施形態では、コア金属堆積及び金属エッチング停止堆積の両方が、それ自体のプロセス能力で低抵抗膜へと最適化される。堆積チャンバは、望ましくない金属酸化を避けるために、高い真空レベルで同じ処理プラットフォームに統合される。
[0045]本開示の1つ又は複数の実施形態は、有利には、サブトラクティブスキームに基づいてビアを整列させる(底部のラインに対して)ことを可能にする方法を提供する。自己整合サブトラクティブスキームの実施形態は、エッチング停止層/ライナを備えた相互接続構造の形成を可能にする。いくつかの実施形態は、有利には、ビアの自己整合を提供する。
[0046]本開示の1つ又は複数の実施形態は、有利には、チップ相互接続の抵抗スケーリングを改善する。抵抗スケーリングには、ライン抵抗とビア抵抗という2つの部分が含まれる。1つ又は複数の実施形態では、ライン抵抗は、低抵抗の金属堆積を実装することにより改善される。1つ又は複数の実施形態では、ビア抵抗は、超極薄且つ低抵抗の金属エッチング停止層を統合することにより(真空の破れがない)改善される。
[0047]1つ又は複数の実施形態では、従来のデュアルダマシン相互接続製造と比較して、1つ又は複数の実施形態のプロセスは、有利には、15~50%以上のライン抵抗低減と、20~30%以上のビア抵抗の低減を達成する。金属を堆積させる従来のデュアルダマシン法と比較したとき、1つ又は複数の実施形態のプロセスは、コア金属膜及び金属エッチング停止膜の両方について50%以上の膜抵抗を達成する。加えて、1つ又は複数の実施形態では、統合プラットフォームはさらに、実効抵抗率を約20%低減する。
[0048]1つ又は複数の実施形態のエッチング停止層及び金属層を堆積させるために有用な物理的気相堆積チャンバ50の一実施例が、図1に概略的に示されている。物理的気相堆積チャンバ50は、中心軸54の周りに配置された真空チャンバ52を含み、真空チャンバ52の上には、ターゲット56がアイソレータ58を通して支持されており、アイソレータ58は、ターゲット56を真空チャンバ52に真空密閉し、且つターゲット56を電気的に接地された真空チャンバ52から電気的に絶縁している。真空ポンプシステム(図示せず)は、真空チャンバ52の内部を低ミリトール範囲の圧力まで排気する。
[0049]1つ又は複数の実施形態では、ターゲット56の前面の形状は、平面状とするか、又は内径部分より外周エッジの方が厚い概ね凹状とすることができる。ターゲット56は、真空チャンバ52の内部に面する金属の層を含み、金属層は、典型的に、スパッタされる金属の供給源を提供するために、堆積される金属以外に5原子%以下の元素を含む。
[0050]プラズマ処理ガスをプラズマへと励起するために、DC電源60は、接地された真空チャンバ52又は接地された側壁シールド(図示せず)に対し、ターゲットを負にバイアスする。
[0051]1つ又は複数の実施形態では、プラズマ処理ガスは、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、及びキセノン(Xe)のうちの1つ又は複数を含み、ガス源からマスフローコントローラを通して真空チャンバ52中に供給される。特定の実施形態では、プラズマ処理ガスはクリプトン(Kr)を含む。理論に縛られることを意図せずに、クリプトン(Kr)をプラズマ処理ガスとして含むガス源62の使用がクリプトン原子の埋没を生じさせることはないと考えられる。したがって、1つ又は複数の実施形態では、プラズマ処理ガスは、クリプトン(Kr)を含むか、クリプトン(Kr)から本質的になるか、又はクリプトン(Kr)からなる。いくつかの実施形態では、プラズマ処理ガスは、実質的にクリプトン原子のみを含む。1つ又は複数の実施形態では、ガス源62は、マスフローコントローラ64を通して真空チャンバ52に接続される。
[0052]1つ又は複数の実施形態では、ターゲット電力は、プラズマ処理ガスをプラズマへと励起し、プラズマの正電荷イオンは、ターゲット54に向かって加速され、ターゲットから金属原子をスパッタする。プラズマの密度は、ある磁極性の内側磁極68が反対の磁極性の外側磁極70によって囲まれているマグネトロン66をターゲット56の背後に配置することによって高められる。電子を捕捉し、これによりプラズマ密度とその結果としてスパッタリング速度を高めるために、磁極68、70は、ターゲット56の面に対して平行な真空チャンバ52に磁場を投射する。スパッタリングの均一性とターゲットの利用率を高めるために、磁極68、70は中心軸54に対して非対称であるが、中心軸54に沿って延びるシャフト74に接続されたアーム72の上に支持されている。モーター76はシャフト74を回転させ、これによってマグネトロン66を中心軸54の周りで回転させ、少なくとも方位角の均一性を提供する。
[0053]真空チャンバ52内のペデスタル80は、基板82をターゲット56に対向させて支持し、ターゲット56からスパッタされる金属でコーティングされるようにする。任意選択的に、RF電源84は、容量性結合回路86を通してペデスタル80をバイアスする。ペデスタル80は、電極として働くように導電性である。真空チャンバ52内のプラズマ存在下でのRFバイアスは、負のDC自己バイアスをペデスタル80上で発生させ、その結果スパッタされた金属イオンが基板82に向かって加速され、その軌道は基板82に形成されたすべての高アスペクト比の孔の中へ深く入る。
[0054]1つ又は複数の実施形態では、エッチング停止層の堆積のために使用される物理的気相堆積処理チャンバは、スパッタプラズマ源の点で金属層堆積のために使用される物理的気相堆積処理チャンバとは異なる。1つ又は複数の実施形態では、バルク金属の堆積のために使用される物理的気相堆積処理チャンバはDC又はRF源を有し、エッチング停止層の堆積のために使用される物理的気相堆積処理チャンバはRF源を有する。加えて、バルク金属の堆積のために使用される物理的気相堆積処理チャンバは、250℃を上回る高温で動作するペデスタル/ウエハ温度を有し、エッチング停止層の堆積は、約20℃から約400℃の低温で起こる。更に、バルク金属の堆積のために使用される物理的気相堆積処理チャンバは、クリプトン(Kr)をスパッタガスとして使用し、エッチング停止層の堆積は、チタン(Ti)ターゲットの場合N(窒化)を、又は窒化チタン(TiN)ターゲットの場合アルゴン(Ar)を使用する。
[0055]本開示の実施形態は、複数層の材料の堆積と、それに続いてドライエッチング技術又は原子層エッチング(ALE)といったサブトラクティブ技術の使用とを含む相互接続構造を生成する。1つ又は複数の実施形態では、形成される導電性の相互接続構造は、必要に応じて誘電体材料によって囲まれる。
[0056]図2に示すように、1つ又は複数の実施形態では、第1のエッチング停止層110は、基板102上に形成される。基板102は、本明細書に記載される任意の適切な材料とすることができる。説明のみを目的として、以降基板102をシリコン基板として説明する。1つ又は複数の実施形態では、基板102は、相互接続構造を下に位置する半導体デバイスと接続するために、下に位置する半導体構造と接触する構造を表す。基板102は、例えば、トランジスタ、コンデンサ、又は抵抗器といったFEOL(ラインの前端)デバイスに接続される場合はタングステンプラグとすることができるか;又は、基板102は、相互接続が必要である場合は銅又は他の導電性のプラグ材料とすることができる。
[0057]図示の実施形態は、任意選択のバリア層106を含む。1つ又は複数の実施形態では、バリア層106はライナを含む。1つ又は複数の実施形態では、バリア層106は、スタックから省略することもできる。例えば、第1の金属層108が基板102に対して良好な接着を有する場合、任意選択のバリア層106はなくてもよい。任意選択のバリア層106は、基板102に対する第1の金属層108の接着を増強することのできる任意の適切な材料とすることができる。1つ又は複数の実施形態では、バリア層106は、タンタル(Ta)、チタン(Ti)、窒化タンタル(TaN)、窒化チタン(TiN)、又はタンタル/窒化タンタル(Ta/TaN)のうちの1つ又は複数を含む。任意選択のバリア層106は、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、蒸発又はメッキを含むがこれらに限定されない、当業者に既知の任意の適切な技術によって堆積させることができる。
[0058]1つ又は複数の実施形態では、第1の金属(導電性)層108は、任意選択のバリア層106の上か;又は任意選択のバリア層106が省略される場合は基板102の上にある。1つ又は複数の実施形態では、バリア層106はライナを含む。第1の金属層108は、当業者に既知の任意の適切な技術によって堆積される任意の適切な層とすることができる。1つ又は複数の実施形態では、第1の金属層108は、物理的気相堆積を使用して堆積される。
[0059]1つ又は複数の実施形態では、導体層でもある第1のエッチング停止層110が、第1の金属層108の上に位置する。1つ又は複数の実施形態では、第1のエッチング停止層110は、エッチング停止材料を含む。エッチング停止材料は、当業者に既知の任意の適切な材料を含み得る。1つ又は複数の実施形態では、エッチング停止材料は、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、モリブデン(Mo)、タングステン(W)、又はルテニウム(Ru)のうちの1つ又は複数を含む。特定の実施形態では、エッチング停止材料は、窒化チタン(TiN)を含む。
[0060]1つ又は複数の実施形態では、第1のエッチング停止層110は、図1に示されるような処理チャンバを使用して、物理的気相堆積(PVD)により形成される。1つ又は複数の実施形態では、高周波RF源が、高濃度の金属イオンを有する高度にイオン化されたプラズマを生成するために使用される。理論に縛られることを意図せずに、高度にイオン化されたプラズマは、第1のエッチング停止層110の結晶配向の変更を容易にし、引張応力及び高密度の有益な組み合わせをもたらし、その結果優れたエッチング選択性をもたらすと考えられる。
[0061]1つ又は複数の実施形態では、エッチング停止材料を含むターゲット54が、図1に示される処理チャンバ50に提供される。ペデスタル80は、約200℃から約300℃の範囲を含む、約20℃から約400℃の範囲の温度の高電流静電チャックを含む。1つ又は複数の実施形態では、処理チャンバ50内のペデスタル80は、ターゲット54からスパッタされる第1のエッチング停止層110でコーティングされるように、ターゲット54に対向させて基板102を支持する。1つ又は複数の実施形態では、RF電源は、容量性の結合回路を通して基板102を支持するペデスタルをバイアスする。ペデスタルは、電極として働くように導電性である。処理チャンバ内のプラズマの存在下でのRFバイアスは、負のDC自己バイアスをペデスタル80上に発生させ、その結果、スパッタされるエッチング停止材料イオンが基板102に向かって加速され、その軌道は、基板102に形成されたすべての高アスペクト比の孔の中へ深く入る。1つ又は複数の実施形態では、RF電源は、約2kWから約3kWの範囲を含む、約1kWから約10kWの範囲にある。
[0062]1つ又は複数の実施形態では、基板102上に堆積される第1のエッチング停止層110は、約0.5nmから5.0nmの範囲の厚さを有する。
[0063]図3に示すように、1つ又は複数の実施形態では、第1のエッチング停止層110が堆積された後、金属層112が第1のエッチング停止層110上に堆積される。1つ又は複数の実施形態では、金属層112は低抵抗のコア金属を含む。1つ又は複数の実施形態では、より低い抵抗のコア金属が、高温のバイアス可能な静電チャック(ESC)で堆積される。1つ又は複数の実施形態では、金属層112は、物理的気相堆積プロセスにより堆積される。1つ又は複数の実施形態では、金属層112は、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、イリジウム(Ir)、金属シリサイド、及び金属合金等のうちの1つ又は複数を含み得る。1つ又は複数の実施形態では、金属層112は、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、及びイリジウム(Ir)のうちの1つ又は複数を含む。特定の実施形態では、金属層112はルテニウム(Ru)を含む。他の特定の実施形態では、金属層112はモリブデン(Mo)を含む。他の特定の実施形態では、金属層112はタングステン(W)を含む。
[0064]1つ又は複数の実施形態では、金属層112を堆積させるためにスパッタされる金属を含むターゲット54が、処理チャンバ、例えば図1に示されるチャンバ50内に提供される。処理チャンバは、基板102を支持するペデスタルも含み得る。ペデスタル80は、約500℃未満の温度の高電流静電チャックを含む。1つ又は複数の実施形態では、温度は、約200℃から約450℃の範囲である。1つ又は複数の実施形態では、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)、及びこれらの組み合わせから選択される核種をチャンバに流入させ、プラズマへと励起して、基板102の上の第1のエッチング停止層110上に金属層112を堆積させる。
[0065]1つ又は複数の実施形態では、DC電源は、接地された処理チャンバ50又は接地された側壁シールドに対して、約900Wから約8kW及び約900Wから約2kWを含む、約500Wから約10kWにターゲットを負にバイアスし、プラズマ処理ガス、例えばネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)をプラズマへと励起する。
[0066]1つ又は複数の実施形態では、AC電源が、約200Wから約400Wを含む、約0Wから約500Wの範囲の電力を提供する。
[0067]1つ又は複数の実施形態では、処理チャンバの圧力は、約5mTorrから約50mTorrを含む、約5mTorrから約100mTorrの範囲である。
[0068]図4に示すように、1つ又は複数の実施形態では、金属シード105が最初に堆積され、次いでバルク金属層112が金属シード105上に堆積される。理論に縛られることを意図せずに、金属シード105を最初に堆積させ、続いてバルク金属層112を堆積させることが、金属粒子を改善すると考えられる。したがって、図4に示すように、1つ又は複数の実施形態では、金属層112の金属を含むターゲット54が処理チャンバ内に提供される。処理チャンバは、基板を支持するペデスタル80も含み得る。ペデスタル80は、約500℃未満の温度の高電流静電チャックを含む。1つ又は複数の実施形態では、温度は、約200℃から約450℃の範囲である。1つ又は複数の実施形態では、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)、及びこれらの組み合わせから選択される核種をチャンバに流入させ、プラズマへと励起して、基板102の上の第1のエッチング停止層110上に金属シード105を堆積させる。
[0069]1つ又は複数の実施形態では、金属シード105は、金属層112と同じ材料を含む。1つ又は複数の実施形態では、金属シード105は、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、イリジウム(Ir)、金属シリサイド、及び金属合金のうちの1つ又は複数を含む。1つ又は複数の実施形態では、金属シード105は、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、及びイリジウム(Ir)のうちの1つ又は複数を含む。1つ又は複数の特定の実施形態では、金属シード105はルテニウム(Ru)を含む。他の特定の実施形態では、金属シード105はモリブデン(Mo)を含む。
[0070]1つ又は複数の実施形態では、DC電源は、接地された処理チャンバ50又は接地された側壁シールドに対して、約500Wから約10kWにターゲット54を負にバイアスし、プラズマ処理ガス、例えばネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)をプラズマへと励起する。
[0071]1つ又は複数の実施形態では、AC電源は、約0Wから約500Wの範囲の電力を提供する。
[0072]その後、1つ又は複数の実施形態では、金属層112が次いで金属シード105上に堆積される。1つ又は複数の実施形態では、金属層112の金属を含むターゲット54が、処理チャンバ内に提供される。処理チャンバは、基板を支持するペデスタル80も含み得る。ペデスタル80は、約500℃未満の温度の高電流静電チャックを含む。1つ又は複数の実施形態では、温度は、約200℃から約450℃の範囲である。1つ又は複数の実施形態では、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)、及びこれらの組み合わせから選択される核種をチャンバに流入させ、プラズマへと励起して、基板102の上の第1のエッチング停止層110の上の金属シード105上に金属層112を堆積させる。
[0073]1つ又は複数の実施形態では、DC電源は、接地された処理チャンバ50又は接地された側壁シールドに対して、約900Wから約8kW及び約900Wから約2kWにターゲット54を負にバイアスし、プラズマ処理ガス、例えばネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)をプラズマへと励起する。
[0074]1つ又は複数の実施形態では、AC電源は、約200Wから約400Wの範囲の電力を提供する。
[0075]図5に示されるように、第1のエッチング停止層及び110金属層112(及び任意選択的に金属シード105)をこのような様式で堆積させることにより、故障するエッチング停止層を有さない相互接続構造が得られる。
[0076]図5は、相互接続構造を形成するために使用される層の例示的開始「スタック」101の等角立体図100である。図5Aは、図5に示されるデバイスの断面図100Aである。図5Bは、図5に示される相互接続構造の上面図100Bである。当業者であれば、例示された「スタック」101は可能な一構成にすぎず、本開示の範囲を限定すると解釈するべきでないことを理解するであろう。
[0077]1つ又は複数の実施形態では、第1の金属(導電性)層108は、任意選択のバリア層106の上か;又は任意選択のバリア層106が省略される場合は基板102の上にある。1つ又は複数の実施形態では、バリア層106はライナを含む。第1の金属層108は、当業者に既知の任意の適切な技術によって堆積される任意の適切な層とすることができる。1つ又は複数の実施形態では、第1の金属層108は、金属層112に関して上述したように、物理的気相堆積を使用して堆積される。いくつかの実施形態では、第1の金属層108は、例えば、限定しないが、タングステン(W)、コバルト(Co)、ルテニウム(Ru)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)、ケイ素化合物、グラフェン、又はこれらの組み合わせといった金属から選択される材料の共形層である。1つ又は複数の実施形態では、第1の金属層はルテニウム(Ru)を含む。
[0078]1つ又は複数の実施形態では、導体層でもある第1のエッチング停止層110は、第1の金属層108の上に位置する。1つ又は複数の実施形態では、第2の金属層112は、第1のエッチング停止層110の上に形成される。第2の金属層112は、上述の第1の金属層108と同じでもよい(がそうである必要はない)。1つ又は複数の実施形態では、第2の金属層112は、支柱を形成する金属を含む。いくつかの実施形態では、支柱を形成する金属は、例えば、限定しないが、タングステン(W)、コバルト(Co)、ルテニウム(Ru)、モリブデン(Mo)、アルミニウム(Al)、銅(Cu)、ケイ素化合物、グラフェン、又はこれらの組み合わせのうちの1つ又は複数から選択される。1つ又は複数の実施形態では、第2の金属層112はルテニウム(Ru)を含む。
[0079]1つ又は複数の実施形態では、第1のエッチング停止層110は、第2の金属層112のエッチング停止のためのものである。1つ又は複数の実施形態では、第2の金属層112はルテニウム(Ru)を含み、第1のエッチング停止層110は、チタン、タンタル、窒化チタン(TiN)、窒化タンタル(TaN)、タングステン(W)、又はモリブデン(Mo)等のうちの1つ又は複数を含む。1つ又は複数の実施形態では、第1の金属層108と第2の金属層112が異なる材料であり、互いに高いエッチング選択性を有するとき、第1のエッチング停止層110は省略される。
[0080]1つ又は複数の実施形態では、第2のエッチング停止層114は、第2の金属層112の上に位置する。1つ又は複数の実施形態では、第2のエッチング停止層114の組成物は、第1のエッチング停止層110と同じでもよい(がそうである必要は)。1つ又は複数の実施形態では、同じ材料として第1のエッチング停止層110と第2のエッチング停止層114とを有することにより、処理が単純化される。1つ又は複数の実施形態では、第2のエッチング停止層114は、CVD、PVD、ALD、蒸発した金属源からの堆積、金属メッキから選択される技術を使用して堆積される、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、窒化チタン(TiN)、W、Co、Ru、ニオブ(Nb)、窒化ニオブ(NbN)、及びこれらの組み合わせの共形層を含むがこれに限定されない任意の適切な材料とすることができるか、又はケイ素化合物といったドーパントでドープされるチタン(Ti)の酸化物であり得る。
[0081]1つ又は複数の実施形態では、第2のエッチング停止層114は、ハードマスク層116のエッチング停止のためのものである。1つ又は複数の実施形態では、ハードマスク層116は酸化ケイ素を含み、第2のエッチング停止層114は、チタン(Ti)、タンタル(Ta)、窒化チタン(TiN)、窒化タンタル(TaN)、窒化ケイ素(SiN)、炭窒化ケイ素(SiCN)、又は酸窒化ケイ素(SiON)等のうちの1つ又は複数を含む。1つ又は複数の実施形態では、第2のエッチング停止層114は省略され、存在しない。いくつかの実施形態では、ハードマスク層116のためのエッチング化学剤は、第2の金属層112に影響を与えず、例えば、ハードマスク層116が酸化ケイ素(SiO)を含み、第2の金属層112がルテニウムを含むとき、第2のエッチング停止層114は存在しない。
[0082]1つ又は複数の実施形態では、ハードマスク層116は、第2のエッチング停止層114の上に位置する。1つ又は複数の実施形態では、ハードマスク層は、上述の下層114、112、110、及び108を通してデバイスパターンを転写するための、上に位置する「リソグラフィスタック」と組み合わせて使用される。1つ又は複数の実施形態では、ハードマスク層116は単一層である。他の実施形態では、ハードマスク層116は層の組み合わせである。ハードマスク層116は、本明細書には記載しないが、1つ又は複数の実施形態では、10nm Node(16nm HPCD)以下のパターンを提供できるとして従来技術に既知の材料及びパターニング技術を使用して製作される。いくつかの実施形態では、ハードマスク層116は、金属製又は誘電体のマスク材料を含む。適切な誘電体材料には、限定されないが、酸化ケイ素(SiO)、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸化アルミニウム(AlOx)、窒化アルミニウム(AlN)及びこれらの組み合わせが含まれる。当業者であれば、酸化ケイ素を表すSiOのような化学式の使用が、元素間のどのような特定の化学量論的関係も意味しないことを認識するだろう。式は、膜の主要な元素を特定しているにすぎない。
[0083]1つ又は複数の実施形態では、底部反射防止コーティング(BARC)118及びフォトレジスト120が、その上に形成される。図示のフォトレジスト120は、トレンチ122でパターニングされている;しかしながら、パターンは、任意の適切な形状又は形状の組み合わせとすることができる。
[0084]1つ又は複数の実施形態では、ハードマスク層116、底部反射防止コーティング(BARC)118、及びフォトレジスト120の組み合わせは、本明細書では第1の「リソグラフィパターニング構造」と呼ばれる。
[0085]図6は、図5に示される開始スタック101から形成された、部分的にパターニングされた構造の等角図200である。図6Aは、図6に示される構造の断面図200Aである。図6Bは、図6に示される構造の上面図200Bである。1つ又は複数の実施形態では、第2の金属層112は、第1のエッチング停止層110の頂面に向かう第1の方向にエッチングされ、第1のエッチング停止層110の上方に、上向きに延びる第1の導電線202を生成する。1つ又は複数の実施形態では、第1の導電線202を形成することは、パターニングされた相互接続スタック101をエッチングガスに曝露すること、及びパターニングされた相互接続スタックを高いエッチング速度でエッチングすることを含む。1つ又は複数の実施形態では、第2の金属層112は、約10秒から約80秒、約20秒から約90秒、又は約10秒から約60秒を含む、約10秒から約100秒の範囲の期間に、約1nm/秒、約1.5nm/秒、約2nm/秒、約2.5nm/秒、約3nm/秒、約3.5nm/秒、約4nm/秒、約4.5nm/秒、又は約5nm/秒を含む、約0.5nm/秒から約5nm/秒の範囲の高速でエッチングされる。理論に縛られることを意図せずに、短時間にわたる高いエッチング速度の組み合わせが、ハードマスク層116のスパッタを最小化すると考えられる。1つ又は複数の実施形態では、第2の金属層112はルテニウム(Ru)を含み、Applied Materials,Inc.(Santa Clara,California,SCLA)のSYM3(登録商標)エッチングチャンバ等でエッチングされる。1つ又は複数の実施形態では、ソース電力は、約500ワット(W)から約1800Wの範囲であり、バイアス電力は、約50Wから約300Wの範囲であり、バイアス電力のパルス状デューティサイクルは、約15%から約90%の範囲であり、圧力は、約5mTorrから約50mTorrの範囲であり、静電チャックの温度は、約30℃ら約90℃の範囲であり、酸素のガス流は、約100sccmから約700sccmの範囲であり、塩素のガス流は、約20sccmから100sccmの範囲である。
[0086]1つ又は複数の実施形態では、第2の金属層112から形成される導電線202の上に位置するのは、第2のエッチング停止層114のライン204、及びハードマスク層116のライン206である。1つ又は複数の実施形態では、導電線202は金属から形成され、その金属は、半導体構造のノードの大きさによって決定される実効抵抗率を提供するものである。1つ又は複数の実施形態では、トレンチ208は、第2の金属層112の列を分離し、第2の金属層112は、導電性の相互接続コンタクトとなるように更に処理される。
[0087]図7は、パターニングスタックを除去した後の、図6に示される部分的にパターニングされた構造の等角立体図300である。図7Aは、図7に示される構造の断面図300Aである。図7Bは、図7に示される構造の上面図300Bである。1つ又は複数の実施形態では、第1のエッチング停止層110は、第1の金属層108の頂面までエッチングされ、次いで第1の金属層108がエッチングされて、基板102上の任意選択のバリア層106の上方に第2の導電線212を形成する。1つ又は複数の実施形態では、バリア層106はライナを含む。1つ又は複数の実施形態では、第1のエッチング停止層110は、窒化チタン(TiN)であり、Applied Materials,Inc.(Santa Clara,California)のSYM3(登録商標)エッチングチャンバ内でエッチングされる。1つ又は複数の実施形態では、ソース電力は、約300Wから約1000Wの範囲であり、バイアス電力は、約50Wから約300Wの範囲であり、圧力は、約4から約15mTorrの範囲であり、静電チャックの温度は、約30℃から約70℃の範囲であり、塩素のガス流は、約30sccmから約250sccmの範囲であり、メタンのガス流は、約10sccmから約100sccmの範囲であり、窒素のガス流は、約30から約500sccmの範囲である。1つ又は複数の実施形態では、窒化チタン(TiN)のエッチングプロセスは、約1000Hzから約10000Hzの周波数範囲及び約15%から約90%のデューティサイクル範囲でバイアス及びソース電力をパルス化することによりエッチングされる。
[0088]図8は、1つ又は複数の実施形態による構造の断面図である。図8に示すように、1つ又は複数の実施形態では、第1の導電線202の第2の金属層112は、第1の金属層108のエッチングプロセスにおいて安定化処理される。安定化処理でのエッチングは、第1の導電線202との反応からの活性種(例えば、ラジカル又はエネルギーイオン)を防止することにより、第1の導電線202の側壁の侵食/厚さ不足を低減する。1つ又は複数の実施形態では、第1の導電線202の側壁203は、ハードマスク材料214を第1の導電線202の側壁203にスパッタするハードマスクスパッタリング効果を利用することにより、安定化処理される。1つ又は複数の実施形態では、スパッタされるハードマスク材料214は、酸化物、例えば酸化ケイ素であり、第1の金属層108はルテニウム(Ru)である。1つ又は複数の実施形態では、ハードマスクスパッタリング効果は、希釈ガス(例えば、Ar、H、He、N)をエッチングガスと混合することにより達成される。1つ又は複数の実施形態では、ハードマスクスパッタリング効果は、希釈ガス(例えば、Ar、H、He、N)を、ルテニウム(Ru)のエッチングのためのエッチングガスと混合することにより達成される。1つ又は複数の実施形態では、ルテニウム(Ru)のエッチングは、Applied Materials,Inc.(Santa Clara,California)のSYM3(登録商標)エッチングチャンバ内で実施される。1つ又は複数の実施形態では、ソース電力は、約300Wから約1800Wの範囲であり、バイアス電力は、約50Wから約300Wの範囲であり、バイアス電力のパルス化デューティサイクルは、約15%から約90%の範囲であり、圧力は、約4mTorrから約30mTorrの範囲であり、静電チャックの温度は、約30℃から約90℃の範囲であり、酸素のガス流は、約100から約700sccmの範囲であり、塩素のガス流は、約20から約100sccmの範囲である。1つ又は複数の実施形態では、希釈ガスは、窒素(N)であり、10から100sccmの流量で提供される。
[0089]図9は、1つ又は複数の実施形態による構造の断面図である。図9に示すように、1つ又は複数の実施形態では、次いで第1の導電線202の側壁203上のスパッタされるハードマスク214が、ウエットエッチングプロセス又はドライエッチングプロセスにより除去される。いくつかの実施形態では、スパッタされるハードマスク214は、金属製又は誘電体のマスク材料を含む。適切な誘電体材料には、限定されないが、酸化ケイ素(SiO)、窒化ケイ素(SiN)、炭化ケイ素(SiC)、酸化アルミニウム(AlOx)、窒化アルミニウム(AlN)及びこれらの組み合わせが含まれる。1つ又は複数の実施形態では、スパッタされるハードマスク214は、酸化ケイ素を含み、希フッ酸(DHF)洗浄により除去される。1つ又は複数の実施形態では、DHF洗浄は、約10秒から約10分の期間に約100:1から約2000:1の希釈範囲で実施される。1つ又は複数の実施形態では、スパッタされるハードマスク214のスパッタリングは、希釈ガスをエッチングガスに付加することを含む。1つ又は複数の特定の実施形態では、スパッタされるハードマスク214は酸化ケイ素を含み、第2の導電線212はルテニウム(Ru)を含み、希釈ガスは窒素(N)を含む。
[0090]1つ又は複数の実施形態では、第1の金属層108から形成された第2の導電線212の上に位置するのは、第1のエッチング停止層110のライン210、第1の導電線202、第2のエッチング停止層114のライン204、ハードマスク層116のライン206である。1つ又は複数の実施形態では、第2の導電線212は金属から形成され、その金属は、半導体構造のノードの大きさによって決定される実効抵抗率を提供するものである。1つ又は複数の実施形態では、トレンチ208が、上向きに延びる導電線202、212の列を分離し、これら導電線は、導電性の相互接続コンタクトとなるように更に処理される。
[0091]図10は、以前にエッチングされたトレンチ208に第2のBARC又はスピンオン誘電体材料124を充填した後の、図7に示される部分的にパターニングされた構造の等角立体図400であり、この構造は後で適用されるフォトレジスト126を支持するために使用される。1つ又は複数の実施形態では、BARC(底部反射防止コーティング)又はスピンオン誘電体材料124は、少なくとも1つのポリマー成分、架橋成分、及び酸発生剤から形成される。1つ又は複数の実施形態では、BARC又はスピンオン誘電体材料124は、前駆体材料が、最小限界寸法を有するスペース中に浸透することができるように、基板表面への適用後硬化される。図10Aは、図10に示される構造の断面図600Aである。図10Bは、図10に示される構造の上面図600Bであり、この構造は、BARC又はスピンオン誘電体124で充填されたトレンチを含んでいる。トレンチは、導電性の相互接続となるようにさらに処理される材料の列を分離している。
[0092]1つ又は複数の実施形態では、底部反射防止コーティング(BARC)又はスピンオン誘電体材料124と、フォトレジスト126との組み合わせは、本明細書では第2の「リソグラフィパターニング構造」と呼ばれる。
[0093]図11は、以前にエッチングされたトレンチ128(BARC又はスピンオン誘電体124で充填されているため、図示されていない)に対して一定の角度で一連のスペース(トレンチ)130をエッチングした後の、図10に示される部分的にパターニングされた構造の等角立体図700である。1つ又は複数の実施形態では、BARC又はスピンオン誘電体層124と、ハードマスク層116とは、第1の導電線202の上面まで下方にエッチングされている。図11Aは、図11に示される構造の断面図700Aである。図11Bは、図1に示される構造の上面図700Bである。
[0094]図12は、以前にエッチングされたトレンチ128(BARC又はスピンオン誘電体124で充填されているため、図示されていない)に対して一定の角度で一連のスペース(トレンチ)130をエッチングした後の、図11に示される部分的にパターニングされた構造の等角立体図800である。1つ又は複数の実施形態では、BARC又はスピンオン誘電体層124と、導電線202とは、第1のエッチング停止層210のラインの上面までエッチングされている。図12Aは、図12に示される構造の断面図800Aである。図12Bは、図12に示される構造の上面図800Bである。
[0095]図13は、典型的には層206、202、210、212、106、及び102に影響を与えないエッチング液プラズマを使用したドライエッチングプロセスにより、BARC又はスピンオン誘電体層124を除去した後の、図12に示される部分的にパターニングされた構造の等角立体図900である。1つ又は複数の実施形態では、プラズマエッチングプロセスは、任意の希釈ガス(例えば、Ar、He)ありで又はなしで、H/N又はH/Oの混合物を利用する。図13Aは、図13に示される構造の断面図900Aである。図13Bは、図13に示される構造の上面図900Bである。
[0096]図14は、典型的には層202、210、212、106、及び102に影響を与えないエッチング液プラズマを用いたドライエッチングプロセスにより、第1のエッチング停止層210及びバリア層106を除去した後の、図13に示される部分的にパターニングされた構造の等角立体図100である。1つ又は複数の実施形態では、プラズマエッチングプロセスは、任意の希釈ガス(例えば、Ar、He)ありで又はなしで、H/N又はH/Oの混合物を利用する。理論に縛られることを意図せずに、第1のエッチング停止層210及びバリア層106は、導電層であるため、いずれの線も短くならないように、第1の金属層108と同じパターンにエッチングされなければならないと考えられる。1つ又は複数の実施形態では、バリア層106は、エッチングされなければならないライナを含む。図14Aは、図14に示される構造の断面図1000Aである。図14Bは、図14に示される構造の上面図1000Bである。
[0097]図15は、本開示の1つ又は複数の実施形態による方法1100のプロセスフロー図である。工程1106では、エッチング停止層が基板上に形成される。上述のように、エッチング停止層は、薄膜を堆積させるために、物理的気相堆積(PVD)によって形成され得る。工程1108では、金属層が、物理的気相堆積によりエッチング停止層上に堆積される。工程1110では、相互接続スタックが形成される。工程1120では、第1の導電線が形成される(例えばサブトラクティブエッチングプロセスにより)。工程1130では、第2の導電線が形成される(例えばサブトラクティブエッチングプロセスにより)。工程1140では、誘電体材料(例えばBARC又はスピンオン誘電体)が堆積される。工程1150では、自己整合したビアを形成するために、相互接続デバイスがエッチングされる。工程1160では、誘電体材料が除去される。工程1170では、第1のエッチング停止層210及びバリア層106がエッチングされる。1つ又は複数の実施形態では、バリア層106は、エッチングされるライナを含む。
[0098]いくつかの実施形態では、第1のエッチング停止層110及び第2の金属層112の堆積は、統合システムで実施される。1つ又は複数の実施形態では、統合システムは、第1のエッチング停止層110の酸化を防ぎ、これは第1のエッチング停止層110の抵抗を増大させることができる。いくつかの実施形態では、バリア層106及び第1の金属層108の堆積は、統合システムで実施される。1つ又は複数の実施形態では、統合システムは、バリア層106の酸化を防ぎ、これはバリア層106の抵抗を増大させることができる。1つ又は複数の実施形態では、バリア層106はライナを含み、統合システムはライナの酸化を防ぎ、これはライナの抵抗を増大させることができる。
[0099]ライン金属;比較的高い導電性成分を有するエッチング停止材料層(金属又はドープされたセラミック若しくはポリマー材料の形態の);及びリソグラフィスタックを形成するパターン転写層(典型的にはハードマスキング材料を含む)を含む変化する層のスタックを使用することにより;及びスタック内に所望の構造を形成するためにサブトラクティブ技術を適用することにより、例えば、小さな毛管を流体導電性材料で充填する必要なく、支柱の形態の相互接続導管を形成することが可能である。上述の相互接続構造を形成する方法は、50nm以下のピッチサイズのデイバスに進むことを可能にする。
[00100]1つ又は複数の実施形態では、第1のエッチング停止層110が堆積される第1の物理的気相堆積チャンバから、金属層108が堆積される別個の第2の物理的気相堆積チャンバへと基板を移動させる。1つ又は複数の実施形態では、第1のチャンバから第2のチャンバへの基板の移動は、エアブレークのない真空下で行われる統合プロセスである。
1つ又は複数の実施形態では、基板は次いで、更なる処理のために別の処理チャンバに移動する。基板は、物理的気相堆積チャンバから別個の処理チャンバに直接移動させることができるか、又は物理的気相堆積チャンバから1つ又は複数の移送チャンバに移動させ、次いで別個の処理チャンバに移動させることができる。したがって、処理装置は、移送ステーションと連通する複数のチャンバを含み得る。この種の装置は、「クラスタツール」又は「クラスタ化システム」等とも呼ばれることがある。
[00102]概して、クラスタツールは、基板の中心検出及び配向、ガス抜き、アニーリング、堆積及び/又はエッチングを含む様々な機能を実施する複数のチャンバを備えるモジュールシステムである。1つ又は複数の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバとを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で及びそれらチャンバ間で、基板を往復搬送することのできるロボットを収容することができる。移送チャンバは、典型的には、真空状態に維持され、基板を1つのチャンバから別のチャンバへ、及び/又はクラスタツールの前端に位置決めされたロードロックチャンバへ往復搬送するための中間ステージを提供する。本発明のために適合されうる2つのよく知られたクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、共にApplied Materials,Inc.(Santa Clara,Calif.)から入手可能である。しかしながら、チャンバの正確な配置及び組み合わせは、本明細書に記載されるプロセスの特定のステップを実施する目的で変更され得る。使用され得る他の処理チャンバには、限定されないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及びその他の基板処理が含まれる。クラスタツール上のチャンバ内でプロセスを実行することにより、次の膜を堆積させる前に酸化することなく、大気中の不純物による基板の表面汚染を回避することができる。
[00103]1つ又は複数の実施形態によれば、基板は、継続的に真空又は「ロードロック」条件下にあり、1つのチャンバから次のチャンバへと移動されるときに周囲空気に曝露されない。したがって移送チャンバは、真空下にあり、真空圧力下に「ポンプダウン」される。不活性ガスは、処理チャンバ又は移送チャンバ内に存在し得る。いくつかの実施形態では、反応物の一部又は全部を除去するために、不活性ガスがパージガスとして使用される。1つ又は複数の実施形態によれば、反応物が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバへ移動するのを防止するために、パージガスが堆積チャンバの出口で注入される。したがって、不活性ガスの流れは、チャンバの出口にカーテンを形成する。
[00104]基板は、単一の基板堆積チャンバ内で処理することができ、1つの基板が搬入され、処理され、搬出されてから、別の基板が処理される。基板はまた、複数の基板が個々に、チャンバの第1の部分の中に搬入され、チャンバを通って移動し、チャンバの第2の部分から搬出される、コンベヤシステムに類似した連続的な方式で処理することができる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。加えて、処理チャンバは、複数の基板が中心軸周囲を移動し、カルーセルの経路全体を通じて、堆積、エッチング、アニーリング、洗浄等のプロセスに曝露されるカルーセルであり得る。
[00105]処理中、基板は加熱又は冷却することができる。このような加熱又は冷却は、基板支持体の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含むがこれらに限定されない任意の適切な手段によって達成することができる。いくつかの実施形態では、基板支持体は、伝導的に基板温度を変化させるように制御することのできるヒータ/クーラを含む。1つ又は複数の実施形態では、基板温度を局所的に変化させるために、用いられているガス(反応性ガス又は不活性ガス)が加熱又は冷却される。いくつかの実施形態では、基板温度を対流によって変化させるために、ヒータ/クーラがチャンバ内で基板表面に隣接するように配置される。
[00106]基板はまた、処理中に、静止していても回転されてもよい。回転基板は、連続的に又は段階的に回転させることができる。例えば、基板は、プロセス全体を通して回転させてもよく、又は異なる反応性ガス若しくはパージガスへの曝露と曝露との間に、少しずつ回転させることができる。処理中に基板を(連続的に又は段階的に)回転させることは、例えば、ガス流の形状寸法の局所的可変性の影響を最小化することによって、より均一な堆積又はエッチングを行うのに役立ち得る。
[00107]本開示の追加の実施形態は、図16に示されるように、メモリデバイスの形成及び記載された方法のための処理ツール900を対象とする。クラスタツール900は、複数の側面を有する少なくとも1つの中央移送ステーション921、931を含む。ロボット925、935は、中央移送ステーション921、931内に位置決めされており、ロボットブレード及びウエハを複数の側面の各々に移動させるように構成されている。
[00108]クラスタツール900は、中央移送ステーションに接続された、プロセスステーションとも呼ばれる複数の処理チャンバ902、904、906、908、910、912、914、916、及び918を備える。種々の処理チャンバは、隣接するプロセスステーションから分離した別個の処理領域を提供する。処理チャンバは、物理的気相堆積チャンバ、1つ又は複数の移送スペース、ウエハ配向器/ガス抜きチャンバ、アニーリングチャンバ、及びエッチングチャンバ等を含むがこれらに限定されない任意の適切なチャンバとすることができる。処理チャンバ及び構成要素の特定の配置は、クラスタツールに応じて変更することができ、本開示の範囲を限定するものとして解釈されるべきではない。
[00109]いくつかの実施形態において、クラスタツール900は、少なくとも1つの物理的気相堆積チャンバを含む。いくつかの実施形態では、クラスタツール900は、中央移送ステーションに接続された2つの物理的気相堆積チャンバを含む。
[00110]図16に示される実施形態では、ファクトリインターフェース950がクラスタツール900の前面に接続されている。ファクトリインターフェース950は、ファクトリインターフェース950の前面951にローディングチャンバ954及びアンローディングチャンバ956を含む。ローディングチャンバ954が左側に示され、アンローディングチャンバ956が右側に示されているが、当業者であれば、これが1つの可能な構成を表しているにすぎないことを理解するだろう。
[00111]ローディングチャンバ954及びアンローディングチャンバ956のサイズ及び形状は、例えば、クラスタツール900内で処理される基板に応じて変化し得る。図示された実施形態では、ローディングチャンバ954及びアンローディングチャンバ956は、カセット内に位置決めされた複数のウエハを含むウエハカセットを保持するようにサイズ決定される。
[00112]ロボット952は、ファクトリインターフェース950内にあり、ローディングチャンバ954とアンローディングチャンバ956との間を移動することができる。ロボット952は、ローディングチャンバ954内のカセットからファクトリインターフェース950を通してロードロックチャンバ960へとウエハを移送することができる。また、ロボット952は、ロードロックチャンバ962からファクトリインターフェース950を通してアンローディングチャンバ956内のカセットへとウエハを移送することができる。当業者であれば理解するように、ファクトリインターフェース950は、複数のロボット952を有することができる。例えば、ファクトリインターフェース950は、ローディングチャンバ954とロードロックチャンバ960との間でウエハを移送する第1のロボットと、ロードロックチャンバ962とアンローディングチャンバ956との間でウエハを移送する第2のロボットとを有し得る。
[00113]図示されたクラスタツール900は、第1のセクション920と第2のセクション930とを有する。第1のセクション920は、ロードロックチャンバ960、962を通してファクトリインターフェース950に接続されている。第1のセクション920は、少なくとも1つのロボット925が内部に位置決めされる第1の移送チャンバ921を含んでいる。ロボット925は、ロボット式ウエハ搬送機構とも呼ばれる。第1の移送チャンバ921は、ロードロックチャンバ960、962、処理チャンバ902、904、916、918、及びバッファチャンバ922、924に対して中央に位置する。いくつかの実施形態のロボット925は、一度に複数のウエハを独立して移動させることができるマルチアームロボットである。いくつかの実施形態では、第1の移送チャンバ921は、複数のロボット式ウエハ移送機構を備える。第1の移送チャンバ921内のロボット925は、第1の移送チャンバ921周囲のチャンバ間でウエハを移動させるように構成される。個々のウエハは、第1のロボット式機構の遠位端に位置するウエハ搬送ブレード上に担持される。
[00114]第1のセクション920内のウエハを処理した後、通過チャンバを通して第2のセクション930へウエハを渡すことができる。例えば、チャンバ922、924は、単方向又は双方向の通過チャンバとすることができる。通過チャンバ922、924は、例えば、第2のセクション930での処理の前にウエハを低温冷却するために使用することができるか、又は第1のセクション920に戻る前にウエハの冷却又は後処理を可能にすることができる。
[00115]システムコントローラ990は、第1のロボット925、第2のロボット935、第1の複数の処理チャンバ902、904、916、918、及び第2の複数の処理チャンバ906、908、910、912、914と通信している。システムコントローラ990は、処理チャンバ及びロボットを制御することのできる任意の適切な構成要素であり得る。例えば、システムコントローラ990は、中央処理装置(CPU)992、メモリ994、入出力(I/O)996、及びサポート回路998を含むコンピュータとすることができる。コントローラ990は、直接、又は特定の処理チャンバ及び/又はサポートシステム構成要素に関連付けられたコンピュータ(又はコントローラ)を介して、処理ツール900を制御し得る。
[00116]1つ又は複数の実施形態では、コントローラ990は、種々のチャンバ及びサブプロセッサを制御するために工業環境で使用することのできる任意の形態の汎用コンピュータプロセッサのうちの1つであり得る。コントローラ990のメモリ994又はコンピュータ可読媒体は、非一時的なメモリ(例えば、ランダムアクセスメモリ(RAM))、読み取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、光記憶媒体(例えば、コンパクトディスク又はデジタルビデオディスク)、フラッシュドライブ、又は任意の他の形式のデジタルストレージ、ローカル又はリモート等の、容易に利用可能なメモリのうちの1つ又は複数であり得る。メモリ994は、処理ツール900のパラメータ及び構成要素を制御するためにプロセッサ(CPU992)によって動作可能な命令セットを保持することができる。
[00117]サポート回路998は、従来の方法でプロセッサをサポートするためにCPU992に接続されている。これらの回路はキャッシュ、電力供給装置、クロック回路、入出力回路及びサブシステム等を含む。1つ又は複数のプロセスは、プロセッサによって実行又は起動されると、プロセッサに、本明細書に記載の方式で処理ツール900又は個々の処理ユニットの動作を制御させるソフトウエアルーチンとして、メモリ994に記憶され得る。ソフトウエアルーチンは、CPU992によって制御されるハードウエアから遠隔して位置する第2のCPU(図示しない)によって記憶及び/又は実行されてもよい。
[00118]本開示のプロセス及び方法のいくつか又はすべては、ハードウエアで実行されてもよい。したがって、プロセスは、ソフトウエアに実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路又は他の種類のハードウエア実装としてのハードウエアで、又はソフトウエアとハードウエアとの組み合わせとして実行され得る。ソフトウエアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実施されるようにチャンバの動作を制御する特定目的のコンピュータ(コントローラ)へと変換する。
[00119]いくつかの実施形態では、コントローラ990は、方法を実施するために個々のプロセス又はサブプロセスを実行するための1つ又は複数の構成を有する。コントローラ990は、方法の機能を実施する中間構成要素に接続し、この中間構成要素を工程させるように構成することができる。例えば、コントローラ990は、物理的気相堆積チャンバに接続することができ、このチャンバを制御するように構成することができる。
[00120]プロセスは、概して、プロセッサによって実行されると、処理チャンバに本開示のプロセスを実施させるソフトウエアルーチンとして、システムコントローラ990のメモリ994に記憶され得る。ソフトウエアルーチンは、プロセッサによって制御されるハードウエアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶及び/又は実行されてもよい。本開示の方法のいくつか又はすべては、ハードウエアで実施されてもよい。したがって、プロセスは、ソフトウエアに実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路又は他の種類のハードウエア実装としてのハードウエアで、又はソフトウエアとハードウエアとの組み合わせとして実行され得る。ソフトウエアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実施されるようにチャンバの動作を制御する特定目的のコンピュータ(コントローラ)へと変換する。
[00121]いくつかの実施形態では、システムコントローラ990は、約20℃から約400℃の範囲の温度でウエハ上にエッチング停止層を堆積させるように物理的気相堆積チャンバを制御し、約1kWから約10kWの範囲の電力を有するようにRF電源を制御する構成を有する。
[00122]いくつかの実施形態では、システムコントローラ990は、約200℃から約450℃の範囲の温度でウエハ上のエッチング停止層上に金属層を堆積させるように物理的気相堆積チャンバを制御し、約5mTorrから約100mTorrの範囲の圧力で約500Wから約10kWの範囲の電力を有するようにDC電源を制御する構成を有する。
[00123]1つ又は複数の実施形態では、処理ツールは、ウエハを移動させるように構成されたロボットを備える中央移送ステーションと;各々が中央移送ステーションに接続され、隣接するプロセスステーションの処理領域から分離された処理領域を提供する、複数のプロセスステーションであって、第1の物理的気相堆積チャンバ及び第2の物理的気相堆積チャンバを含む複数のプロセスステーションと;中央移送ステーション及び複数のプロセスステーションに接続されたコントローラであって、ロボットを起動し、プロセスステーションの間でウエハを移動させ、プロセスステーションの各々で行われるプロセスを制御するように構成されたコントローラとを備える。
[00124]ここで、以下の実施例を参照して本開示について記載する。本開示のいくつかの例示的な実施形態を記載する前に、本開示が、以下の記載に示される構成又は処理ステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実施又は実行することができる。
[00126]実施例1
[00127]約1.5nmの厚さを有する窒化チタン(TiN)の層を、物理的気相堆積により基板上に形成した。TiN層を、260℃の温度のPVDチャンバ内に形成した。
[00128]10から100nmの厚さを有するルテニウム(Ru)の層を、物理的気相堆積により窒化チタン(TiN)層上に形成した。Ru層を、約250℃から約450℃の範囲の温度のPVDチャンバ内に形成した。
[00129]2つの物理的気相堆積処理チャンバを統合し、エアブレークのない真空下で堆積処理を実施した。
[00130]次いで、TiNエッチング停止層上の、Ruを含む基板を、ソース及びバイアスの直接プラズマ環境において<100mTorrに加圧して、ハロゲン(Cl、Br)、酸素、Ar、He、Nの組み合わせプロセスで、Applied Materials社のSYM3によりエッチングした。
[00131]実施例2-比較例
[00132]約1.5nmの厚さを有する窒化チタン(TiN)の層を、原子層堆積により基板上に形成した。TiN層を、340℃の温度の原子層堆積チャンバ内に形成した。
[00133]10~100nmの厚さを有するルテニウム(Ru)の層を、化学気相堆積(CVD)により窒化チタン(TiN)層上に形成した。Ru層を、約150℃から約250℃の温度の化学気相堆積チャンバ内に形成した。
[00134]原子層堆積処理チャンバと化学気相堆積チャンバとを処理ツールに統合し、エアブレークのない真空下で堆積処理を実施した。
[00135]次いで、TiNエッチング停止層上の、Ruを含む基板を、ソース及びバイアスの直接プラズマ環境において<100mTorrに加圧して、ハロゲン(Cl、Br)、酸素、Ar、He、Nの組み合わせのプロセスで、Applied Materials社のSYM3によりエッチングした。TiNエッチング停止層が開く余地はなかった。TiN不純物及びTiN酸化(TiOx)によりラインがマージされた。
[00136]実施例3-比較例
[00137]約1.5nmの厚さを有する窒化チタン(TiN)の層を、物理的気相堆積により基板上に形成した。TiN層を、室温から300℃の温度の物理的気相堆積チャンバ内に形成した。
[00138]10~100nmの厚さを有するルテニウム(Ru)の層を、物理的気相堆積により窒化チタン(TiN)層上に形成した。Ru層を、250~450℃の温度の物理的気相堆積チャンバ内に形成した。
[00139]2つの物理的気相堆積処理チャンバは単一の処理ツールに統合されず、TiNの堆積とRu層の堆積との間にエアブレークがあった。
[00140]次いで、TiNエッチング停止層上の、Ruを含む基板を、ソース及びバイアスの直接プラズマ環境において<100mTorrに加圧して、ハロゲン(Cl、Br)、酸素、Ar、He、Nの組み合わせのプロセスで、Applied Materials社のSYM3によりエッチングした。
[00141]結果:
Figure 2023511330000002
[0095]結果は、実施例1において形成されたデバイスが、実施例2及び3のデバイスと比較して優れた抵抗を有することを示している。実施例1のデバイス(スタック)は、15~50%大きなライン抵抗と、20~30%大きなビア抵抗低減を有している。加えて、実施例1のTiNエッチング停止層は、エッチング停止層の故障なしに正常にオーバーエッチングすることができ、一方実施例2及び3のエッチング停止層はオーバーエッチングすることができない。
[0096]本明細書で説明される材料及び方法を記載する文脈では(特に、特許請求の範囲の文脈では)、「1つの(「a」及び「an」)」、「その/前記(the)」並びに類似の指示語の使用は、本明細書で別段の指示がない限り、又は明らかに文脈に矛盾しない限り、単数と複数の両方を包含すると解釈されるべきである。本明細書中の数値範囲の列挙は、本明細書で別段の指示がない限り、その範囲に含まれる各個別の値を個々に言及する略記法としての役割を果たすことを意図しているにすぎず、各個別の値は、本明細書で個々に列挙されるかのように、明細書に組み込まれる。本明細書に記載のすべての方法は、本明細書で別段の指示がない限り、又は明らかに文脈に矛盾しない限り、任意の適切な順序で実施することができる。本明細書に提供される任意の及びすべての例、又は例示的な文言(例えば、「~といった」、「~等」)の使用は、材料及び方法をよりよく説明することを意図しているにすぎず、特に主張しない限り、範囲を限定するものではない。本明細書中のいかなる文言も、開示された材料及び方法の実施に不可欠なものとして特許請求されていない要素を示すものと解釈すべきではない。
[0097]この明細書を通して、「1つの実施形態」、「一部の実施形態」、「1つ又は複数の実施形態」、又は「実施形態」に対する言及は、実施形態に関連して記載された特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書の様々な箇所で登場する「1つ又は複数の実施形態では」、「一部の実施形態では」、「一実施形態では」、又は「実施形態では」等の文言は、必ずしも本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特性を、1つ又は複数の実施形態において任意の適切な方式で組み合わせることができる。
[0098]本明細書の開示内容について、特定の実施形態を参照して記載したが、これらの実施形態が、本開示の原理及び用途の単なる例示であることを理解されたい。当業者であれば、本開示の本質及び範囲から逸脱することなく、本開示の方法及び装置に様々な改変及び変形を加えることができることが分かるであろう。したがって、本開示は、特許請求の範囲及びその均等物の範囲に含まれる改変及び変形を含むことが意図されている。

Claims (20)

  1. 相互接続を形成する方法であって、
    基板上にエッチング停止層を堆積させることであって、堆積が物理的気相堆積を含む、エッチング停止層を堆積させることと、
    前記エッチング停止層上に金属層をインシトゥ堆積させることであって、前記インシトゥ堆積が、処理チャンバにプラズマ処理ガスを流入させ、前記プラズマ処理ガスをプラズマへと励起して、前記基板上の前記エッチング停止層上に前記金属層を堆積させることを含む、金属層をインシトゥ堆積させることと
    を含み、前記基板が、継続的に真空下にあり、周囲空気に曝露されない、方法。
  2. 前記エッチング停止層が、約20℃から約400℃の範囲の温度で堆積される、請求項1に記載の方法。
  3. 前記エッチング停止層を堆積させるために、RF電源が、約1kWから約10kWの範囲の電力を提供する、請求項2に記載の方法。
  4. 前記エッチング停止層を堆積させるために、前記RF電源が、約2kWから約2kWの範囲の電力を提供する、請求項3に記載の方法。
  5. 前記エッチング停止層が、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、モリブデン(Mo)、タングステン(W)、又はルテニウム(Ru)のうちの1つ又は複数を含む、請求項1に記載の方法。
  6. 前記エッチング停止層が窒化チタン(TiN)を含む、請求項5に記載の方法。
  7. 前記金属層が、約200℃から約450℃の範囲の温度で堆積される、請求項1に記載の方法。
  8. 電源が、前記プラズマ処理ガスをプラズマへと励起するために、金属ターゲットを、約500Wから約10kWへと負にバイアスする、請求項7に記載の方法。
  9. 前記プラズマ処理ガスが、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、キセノン(Xe)のうちの1つ又は複数を含む、請求項8に記載の方法。
  10. 前記プラズマ処理ガスがクリプトン(Kr)を含む、請求項9に記載の方法。
  11. 前記金属層が、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、イリジウム(Ir)、金属シリサイド、及び金属合金のうちの1つ又は複数を含む、請求項1に記載の方法。
  12. 前記金属層の堆積の前に、前記エッチング停止層上に金属シードを堆積させることを更に含み、前記金属シードの堆積が、前記チャンバにプラズマ処理ガスを流入させ、前記プラズマ処理ガスをプラズマへと励起して、前記エッチング停止層上に前記金属シードを堆積させることを含む、請求項1に記載の方法。
  13. 前記金属シードが、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、イリジウム(Ir)、金属シリサイド、及び金属合金のうちの1つ又は複数を含む、請求項12に記載の方法。
  14. ウエハを移動させるように構成されたロボットを含む中央移送ステーションと、
    各々が前記中央移送ステーションに接続されて隣接するプロセスステーションの処理領域から分離した処理領域を提供する複数のプロセスステーションであって、第1の物理的気相堆積チャンバ及び第2の物理的気相堆積チャンバを含む複数のプロセスステーションと
    を含む処理ツール。
  15. 前記中央移送ステーション及び前記複数のプロセスステーションに接続されたコントローラであって、前記ロボットを作動させて、プロセスステーション間で前記ウエハを移動させ且つ前記プロセスステーションの各々で発生するプロセスを制御するように構成されているコントローラを更に含む、請求項14に記載の処理ツール。
  16. 前記ウエハが、継続的に真空下にあり、周囲空気に曝露されない、請求項15に記載の処理ツール。
  17. 前記コントローラが、前記ロボットを作動させて、前記第1の物理的気相堆積チャンバと前記第2の物理的気相堆積チャンバとの間で真空を破ることなく前記ウエハを移動させるように構成されている、請求項16に記載の処理ツール。
  18. 前記第1の物理的気相堆積チャンバが、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、モリブデン(Mo)、タングステン(W)、又はルテニウム(Ru)のうちの1つ又は複数を含む第1のターゲットを含み、前記第2の物理的気相堆積チャンバが、ルテニウム(Ru)、モリブデン(Mo)、タングステン(W)、銅(Cu)、コバルト(Co)、イリジウム(Ir)、金属シリサイド、及び金属合金のうちの1つ又は複数を含む第2のターゲットを含む、請求項14に記載の処理ツール。
  19. 処理チャンバのコントローラによって実行されると、前記処理チャンバに、
    基板上にエッチング停止層を堆積させる工程、
    前記エッチング停止層上に金属層をインシトゥ堆積させる工程、及び
    前記基板を継続的に真空下に維持する工程
    を実施させる命令を含む、非一時的なコンピュータ可読媒体。
  20. 前記インシトゥ堆積が、プラズマ処理ガスを前記処理チャンバに流入させ、前記プラズマ処理ガスをプラズマへと励起して、前記基板上の前記エッチング停止層上に前記金属層を堆積させることを含む、請求項19に記載の非一時的なコンピュータ可読媒体。
JP2022543672A 2020-01-24 2020-10-23 サブトラクティブ自己整合のための方法と装置 Pending JP2023511330A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/751,691 US11257677B2 (en) 2020-01-24 2020-01-24 Methods and devices for subtractive self-alignment
US16/751,691 2020-01-24
PCT/US2020/057142 WO2021150280A1 (en) 2020-01-24 2020-10-23 Methods and devices for subtractive self-alignment

Publications (1)

Publication Number Publication Date
JP2023511330A true JP2023511330A (ja) 2023-03-17

Family

ID=76970479

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022543672A Pending JP2023511330A (ja) 2020-01-24 2020-10-23 サブトラクティブ自己整合のための方法と装置

Country Status (5)

Country Link
US (2) US11257677B2 (ja)
JP (1) JP2023511330A (ja)
KR (1) KR20220126757A (ja)
CN (1) CN114981934A (ja)
WO (1) WO2021150280A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11749532B2 (en) * 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8316476D0 (en) 1983-06-16 1983-07-20 Plessey Co Plc Producing layered structure
US4954423A (en) 1985-08-06 1990-09-04 Texas Instruments Incorporated Planar metal interconnection for a VLSI device
US5025303A (en) 1988-02-26 1991-06-18 Texas Instruments Incorporated Product of pillar alignment and formation process
DE3879213D1 (de) 1988-12-16 1993-04-15 Siemens Ag Verfahren zur selbstjustierten herstellung von kontakten zwischen in uebereinander angeordneten verdrahtungsebenen einer integrierten schaltung enthaltenen leiterbahnen.
JP3122579B2 (ja) 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
US5654216A (en) 1996-04-08 1997-08-05 Chartered Semiconductor Manufacturing Pte Ltd. Formation of a metal via structure from a composite metal layer
JPH1098162A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6281585B1 (en) 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
US6133635A (en) 1997-06-30 2000-10-17 Philips Electronics North America Corp. Process for making self-aligned conductive via structures
US6027860A (en) 1997-08-13 2000-02-22 Micron Technology, Inc. Method for forming a structure using redeposition of etchable layer
JP2000114245A (ja) 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6399508B1 (en) 1999-01-12 2002-06-04 Applied Materials, Inc. Method for metal etch using a dielectric hard mask
US6433436B1 (en) 1999-05-26 2002-08-13 International Business Machines Corporation Dual-RIE structure for via/line interconnections
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6800554B2 (en) * 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6696358B2 (en) * 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US20020155693A1 (en) 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US20040124438A1 (en) * 2001-05-01 2004-07-01 Shyama Mukherjee Planarizers for spin etch planarization of electronic components and methods of use thereof
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
JP2003045964A (ja) 2001-07-30 2003-02-14 Nec Corp 半導体装置及びその製造方法
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
CN1656243B (zh) 2001-11-14 2010-06-16 应用材料有限公司 用于溅射和再溅射的自离子化及电感耦合等离子体
US6893893B2 (en) 2002-03-19 2005-05-17 Applied Materials Inc Method of preventing short circuits in magnetic film stacks
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7320942B2 (en) 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US7294241B2 (en) * 2003-01-03 2007-11-13 Chartered Semiconductor Manufacturing Ltd. Method to form alpha phase Ta and its application to IC manufacturing
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20050176237A1 (en) 2004-02-05 2005-08-11 Standaert Theodorus E. In-situ liner formation during reactive ion etch
US7700474B2 (en) 2006-04-07 2010-04-20 Tokyo Electron Limited Barrier deposition using ionized physical vapor deposition (iPVD)
KR100699865B1 (ko) 2005-09-28 2007-03-28 삼성전자주식회사 화학기계적 연마를 이용한 자기 정렬 콘택 패드 형성 방법
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
CN101079408A (zh) * 2006-05-22 2007-11-28 中芯国际集成电路制造(上海)有限公司 双镶嵌结构及其制造方法
US8329585B2 (en) 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
JP5421085B2 (ja) 2009-12-03 2014-02-19 株式会社 岩崎 遊技機の外枠
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8435419B2 (en) 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
CN102315163A (zh) * 2011-09-28 2012-01-11 上海华力微电子有限公司 超低介电常数薄膜铜互连的制作方法
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
CN103681466B (zh) * 2012-09-18 2016-03-16 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
US9583538B2 (en) 2013-02-28 2017-02-28 Kabushiki Kaisha Toshiba Semiconductor memory device having crossing interconnects separated by stacked films
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
KR102167351B1 (ko) 2013-09-27 2020-10-19 인텔 코포레이션 라인 백엔드(Back End of Line)(BEOL) 상호접속을 위한 삭감 자기 정렬 비아 및 플러그 패터닝
US9236342B2 (en) 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9431297B2 (en) 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
US10366903B2 (en) 2015-06-26 2019-07-30 Intel Corporation Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
KR102420087B1 (ko) 2015-07-31 2022-07-12 삼성전자주식회사 반도체 소자의 제조 방법
US9613909B2 (en) 2015-08-12 2017-04-04 Globalfoundries Inc. Methods and devices for metal filling processes
US10354912B2 (en) 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US10510545B2 (en) * 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
CN107978553B (zh) * 2016-10-21 2020-12-18 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
US11088020B2 (en) 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10354965B2 (en) * 2017-09-28 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad process with protective layer
JP2019169627A (ja) 2018-03-23 2019-10-03 東京エレクトロン株式会社 エッチング方法
US10692759B2 (en) 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
CN109920758A (zh) 2019-03-20 2019-06-21 上海华虹宏力半导体制造有限公司 金属线的制造方法
TWI833425B (zh) 2019-05-01 2024-02-21 美商應用材料股份有限公司 完全對準消去處理及來自此處理的電子裝置
US11251118B2 (en) 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11177171B2 (en) 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment

Also Published As

Publication number Publication date
US20210233770A1 (en) 2021-07-29
CN114981934A (zh) 2022-08-30
US20220130676A1 (en) 2022-04-28
WO2021150280A1 (en) 2021-07-29
KR20220126757A (ko) 2022-09-16
US11257677B2 (en) 2022-02-22
US11908696B2 (en) 2024-02-20
TW202129782A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
US10403542B2 (en) Methods of forming self-aligned vias and air gaps
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
US11101174B2 (en) Gap fill deposition process
US6187682B1 (en) Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
US11574924B2 (en) Memory cell fabrication for 3D NAND applications
US11908696B2 (en) Methods and devices for subtractive self-alignment
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
TWI730990B (zh) 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US20220005831A1 (en) Vertical transistor fabrication for memory applications
US9984976B2 (en) Interconnect structures and methods of formation
KR20190092310A (ko) 마이크로전자 워크피스의 처리를 위한 금속 하드 마스크 층
US20230045689A1 (en) Method of forming interconnect for semiconductor device
JP7519445B2 (ja) 選択的間隙充填のための低温プラズマ前洗浄
TWI843902B (zh) 用於減除式自我對齊之方法及裝置
TW202236420A (zh) 依序電漿及熱處理
JP2024102093A (ja) 間隙充填堆積プロセス
KR20240111020A (ko) 메모리 애플리케이션들을 위한 수직 트랜지스터 제작
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220912

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240304

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240625