TWI833425B - 完全對準消去處理及來自此處理的電子裝置 - Google Patents

完全對準消去處理及來自此處理的電子裝置 Download PDF

Info

Publication number
TWI833425B
TWI833425B TW111142378A TW111142378A TWI833425B TW I833425 B TWI833425 B TW I833425B TW 111142378 A TW111142378 A TW 111142378A TW 111142378 A TW111142378 A TW 111142378A TW I833425 B TWI833425 B TW I833425B
Authority
TW
Taiwan
Prior art keywords
metal layer
layer
patterned
metal
etch stop
Prior art date
Application number
TW111142378A
Other languages
English (en)
Other versions
TW202312250A (zh
Inventor
任河
姜浩
梅伍爾 奈克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202312250A publication Critical patent/TW202312250A/zh
Application granted granted Critical
Publication of TWI833425B publication Critical patent/TWI833425B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)
  • Semiconductor Memories (AREA)

Abstract

描述了形成連接在兩個方向上延伸的兩條金屬線的完全對準的通孔的方法。完全對準的通孔沿著兩個方向與第一金屬線和第二金屬線對準。在與第一金屬層電接觸的第二金屬層的頂部上圖案化第三金屬層。圖案化的第三金屬層與第二金屬層的頂部未對準。使第二金屬層凹陷以曝露第二金屬層的側面並移除未對準第三金屬層的側面的部分。

Description

完全對準消去處理及來自此處理的電子裝置
本揭露書的實施例係關於電子裝置和電子裝置製造的領域。更具體地,本揭露書的實施例提供了用於使用消去處理來產生具有完全對準的通孔及/或接點的電子裝置的方法。
電子裝置(諸如個人電腦、工作站,計算機伺服器,大型主機)及其他與計算機相關的設備(諸如印表機、掃描器和硬碟驅動器)使用了提供大量資料儲存能力同時又降低了功耗的記憶體裝置。有兩種主要類型的隨機存取記憶體單元(動態和靜態),其非常適合在電子裝置件中使用。可對動態隨機存取記憶體(DRAM)進行程式化,以儲存代表兩個二進制值之一的電壓,但是需要定期重新程式化或「刷新」,以在很短的週期內保持這個電壓。之所以這樣稱呼靜態隨機存取記憶體(SRAM)是因為它們不需要定期刷新。
先前已經使用「雙鑲嵌」製造技術來生產晶片上電互連,其中經由裝置結構的各個層產生孔口,且用導電材料填充孔口以在層之間及在位於各層上的裝置特徵之間形成互連。然而,對於基於10nm節點和較小特徵尺寸的晶片而言,存在間隙填充和電阻率的限制,這使得使用以前依賴的「雙鑲嵌」製造技術不切實際。
當前的處理經常在互連製造期間遭遇通孔對準問題。在進階技術節點上,通孔對準誤差幾乎沒有或沒有裕度。已經開發了消去方案以允許形成與下層金屬線(Mx)自對準的通孔(Vx)。然而,頂部金屬線(Mx+1)並未自對準,這可能會導致對準誤差。
因此,存在有形成減少或消除通孔對準誤差的電子裝置的方法的需求。
本揭露書的實施例涉及一種形成完全對準的通孔的方法。圖案化在第二金屬層的頂部上的第三金屬層。第二金屬層與第一金屬層電接觸。圖案化的第三金屬層與第二金屬層未對準,使得曝露第二金屬層的頂部的一部分。使第二金屬層的頂部凹陷以曝露與圖案化的第三金屬層對準的第二金屬層的側面。第二金屬層的頂部從第三金屬層的底部凹陷一定距離。
本揭露書的另外的實施例涉及一種形成完全對準的通孔的方法。在第二金屬層上的圖案化的金屬襯墊的頂部上形成圖案化的第三金屬層。第二金屬層與第一金屬層電接觸。圖案化的第三金屬層和圖案化的金屬襯墊與第二金屬層未對準,使得第二金屬層的頂部的一部分經由在圖案化的第三金屬層和圖案化的金屬襯墊中的開口曝露。第二金屬層穿過圖案化的第三金屬層和圖案化的金屬襯墊中的開口而凹陷,以降低第二金屬層的頂部並曝露與圖案化的第三金屬層的側面對準的第二金屬層的側面及與金屬襯墊的底表面間隔一段距離的第二金屬層的頂表面。第二金屬層的表面與金屬襯墊的底表面間隔開約5 Å至約100Å的範圍中的距離。
本揭露書的其他實施例涉及一種電子裝置。電子裝置包含第二金屬層,第二金屬層經由第一蝕刻停止層與第一金屬層接觸。第二金屬層具有頂部部分,頂部部分具有曝露的側面和凹陷的頂表面。曝露的側面具有長度。介電層在第二金屬層的部分周圍。介電層具有頂表面,頂表面與第二金屬層的凹陷的頂表面基本齊平。圖案化的金屬襯墊位於第二金屬層和介電層上。圖案化的金屬襯墊具有曝露介電層的頂表面和第二金屬層的凹陷的頂表面的開口。圖案化的金屬襯墊與第二金屬層的凹陷的頂表面和介電層的頂表面間隔開一定距離,從而形成間隙。間隙基本上等於第二金屬層的曝露的側面的長度。間隙的長度在約5Å至約100Å的範圍中。圖案化的第三金屬層在圖案化的金屬襯墊上。圖案化的第三金屬層具有曝露介電層的頂表面和第二金屬層的凹陷的頂表面的開口。
在描述本揭露書的幾個示例性實施例之前,應理解,本揭露書不限於在以下描述中闡述的配置或處理步驟的細節。本揭露書能夠具有其他實施例並且能夠以各種方式被實施或執行。
如在本說明書和附隨的申請專利範圍中所使用的,術語「前驅物」、「反應物」、「反應氣體」及類似者可互換使用,以指代可與基板表面反應的任何氣態物種。
如於此所用,「基板」是指在製造處理期間在其上執行膜處理的任何基板或基板上形成的材料表面。例如,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料及諸如金屬、金屬氮化物、金屬合金和其他導電材料的任何其他材料,取決於應用。基板包括(但不限於)半導體晶圓。可將基板曝露於預處置(pretreament)處理以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理之外,在本揭露書中,所揭露的任何膜處理步驟還可在形成在基板上的底層上進行,如下面更詳細地揭露,且術語「基板表面」旨在如包括上下文指示的這樣的底層。因此,例如,在膜/層或部分膜/層已經沉積在基板表面上的情況下,新沉積的膜/層的曝露表面變成基板表面。
本揭露書的一個或多個實施例有利地提供了一種方法,能夠基於消去方案使通孔完全對準(相對於底部線和頂部線)。完全對準的消去方案的實施例允許形成具有或不具有金屬阻擋層/襯墊的電子裝置。一些實施例有利地提供了在兩個正交導線方向上的通孔的完全對準。
本方法提供了與半導體裝置結合使用的自對準互連結構,而無需使用填充有接觸材料的通孔。相反地,所有接觸材料都沉積在共形層中,使得不需要用導電材料填充任何微導管。隨後,經由包括接觸材料層的層堆疊乾蝕刻圖案,使得接觸材料的柱向上延伸以提供從下層基底層延伸的互連,下層基底層含有與半導體裝置上的表面配合的接點。接觸材料的柱通常是金屬,但可為能夠傳遞電流的摻雜陶瓷組成或導電聚合物材料。還應理解,所產生的互連結構不必呈垂直柱的形式,而是可呈其他形狀,這些形狀可使用消去技術從共形層的堆疊中進行處理。
下文的描述關於金屬柱的產生,因為這很可能是自對準互連結構的經常使用的形式;然而,無意將實施例限制為一種導電路徑的形式,導電路徑包含金屬、摻雜的陶瓷組合物,或可為摻雜或未摻雜的導電聚合物材料,以提供互連路徑。意圖是當在以下結構的描述中提及「金屬」時,應理解也意圖包括可以共形層的形式施加的其他導電材料。
近年來,化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及/或電鍍銅已被用作選擇的互連材料。然而,當特徵尺寸為10nm節點(16nm HPCD)時,由於銅的eMFP(電子平均自由路徑)(其為約39nm),有效電阻率在約6μΩ-cm的範圍中。當特徵尺寸在7nm節點(11nm HPCD)時,由於銅的eMFP,有效電阻率在約6.5μΩ-cm的範圍中;並且當特徵尺寸在5nm節點(7nm HPCD)時,銅的有效電阻率在約11.5μΩ-cm的範圍內。在7nm節點時,且尤其是在5nm節點時,金屬(諸如鈷(eMFP約為9.5nm,而銅的eMFP為39)或鎢(eMFP約為19nm))和矽化物(藉由示例而非藉由限制)在有效電阻率方面變得可與銅競爭。例如,銅和鈷在5nm節點時均具有約12μΩ-cm的有效電阻率,且鎢具有約13.5μΩ-cm的有效電阻率。有效電阻率是金屬在奈米尺寸時的電阻率。由於奈米尺寸中加劇的電子散射,金屬的有效電阻高於本質電阻(intrinsic resistance)(「主體電阻率(bulk resistivity)」或「大尺寸電阻率」)。
本揭露書的實施例產生了一種互連結構,互連結構包括沉積多層材料,隨後使用消去技術(諸如乾式蝕刻技術或原子層蝕刻(ALE))。必要時,所形成的導電互連被介電材料包圍。
藉由使用包括線金屬的不同的層的堆疊;蝕刻具有相對較高電導率成分的停止材料層(以金屬或摻雜陶瓷或聚合物材料的形式);及,圖案化構成光刻堆疊的轉移層(通常包括硬遮罩材料);並且藉由應用消去技術以在堆疊內形成期望的結構,例如,可能形成柱形式的互連導管,而不需要用流體導電材料填充微小的毛細管。上面描述的形成互連結構的方法使得有可能進行到16nm節點及以下的裝置。
第1圖顯示了示例性的層的起始「堆疊」的三維視圖,堆疊將用以形成對下一代半導體裝置有用的那種互連結構。熟習此項技術者將認識到示例性堆疊100僅是一種可能的配置,且不應被視為限制本揭露書的範圍。在所示的實施例中,基板101具有形成在其上的氧化物層102。基板可為於此所述的任何合適的材料。僅出於描述目的,基板101將被討論為矽基板。氧化物層102可從基板表面生長或可沉積在基板表面上。例如,可氧化矽基板以形成氧化物層102,或可在基板101上沉積(如,藉由氣相沉積處理)氧化矽膜。
所示的實施例包括任選的金屬襯墊103。這個襯墊也可從堆疊100中省略。例如,若第一金屬層104對氧化物層102具有良好的黏附性,則任選的金屬襯墊103可為多餘的並省略。任選的金屬襯墊103可為能夠增加第一金屬層104對氧化物層102的黏附性的任何合適的材料。任選的金屬襯墊103可藉由熟習此項技術者已知的任何合適的技術來沉積,包括(但不限於)原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蒸發或電鍍。
第一金屬層104(也稱為第一導電層)在任選的金屬襯墊103上;或若省略了任選的金屬襯墊103,則在氧化物層102上。第一金屬層104可為藉由熟習此項技術者已知的任何合適的技術而沉積的任何合適的層。在一些實施例中,第一金屬層104是共形層。用於第一金屬層104的合適材料包括(但不限於)鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物、石墨烯或其組合。在一些實施例中,第一金屬層104包含鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物或石墨烯的一種或多種。在一些實施例中,第一金屬層104基本上由鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物或石墨烯的一種或多種組成。如本說明書和附隨的申請專利範圍中所使用的,術語「基本上由……組成」是指標的膜、物種等大於或等於所宣稱材料的約95%、98%、99%或99.5%。若對於一種材料宣稱了一種以上的成分(如,膜基本上由鎢和鈷組成),則所宣稱成分的總和大於或等於約95%、98%、99%或99.5%。在一些實施例中,第一金屬層104包含摻雜有少量其他金屬的純金屬。例如,銅、鎢、釕或鉬摻雜有最高5%的摻雜劑(如,鋁,錳等)。基本上由摻雜的金屬組成的第一金屬層104是指第一金屬層104包含高達5原子%的摻雜劑。在一些實施例中,摻雜劑包含鋁、錳、磷、砈或硼的一種或多種。
具有低電阻率的第一蝕刻停止層106覆蓋在第一金屬層104上。第一蝕刻停止層106可為任何合適的材料,包括(但不限於)鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、W、Co、Ru、鈮(Nb)、氮化鈮(NbN)及其組合的共形層,其使用選自CVD、PVD、ALD的技術而沉積,沉積物來自蒸發的金屬源、金屬鍍層,或可為Ti的氧化物,其摻雜有諸如矽化物的摻雜劑。在一些實施例中,第一蝕刻停止層106包含氮化矽鈦(TiSiN)、氮化矽鉭(TaSiN)或氮化矽鎢(WSiN)的一個或多個,或基本上由其組成。
第二金屬層108形成在第一蝕刻停止層106上。第二金屬層108可(但不必是)與上述的第一金屬層104相同。在一些實施例中,第二金屬層108包含與第一金屬層104相同的組成。在一些實施例中,第二金屬層108包含與第一金屬層104不同的組成。如於此所使用的,不同的組成包括(但不限於)具有不同物理性質的相同材料的組成,或由不同技術形成的相同材料的組成。在一些實施例中,第一金屬層104和第二金屬層108包含釕、鉬或鎢的一種或多種。在一些實施例中,第一金屬層104包含釕、鉬或鎢的一種或多種或基本上由其組成。在一些實施例中,第二金屬層108包含釕、鉬或鎢的一種或多種或基本上由其組成。在一些實施例中,第一金屬層104和第二金屬層108包含相同的金屬或基本上由相同的金屬組成。
第二蝕刻停止層110覆蓋在第二金屬層108上。第二蝕刻停止層110的組成可(但不必是)與第一蝕刻停止層106相同。令第一蝕刻停止層106和第二蝕刻停止層110為相同材料可簡化處理。在一些實施例中,第二蝕刻停止層110包含與第一蝕刻停止層106相同的組成。在一些實施例中,第二蝕刻停止層110包含與第一蝕刻停止層106不同的組成。在一些實施例中,第一蝕刻停止層106和第二蝕刻停止層110包含相同的材料或基本上由相同的材料組成。在一些實施例中,第一蝕刻停止層106和第二蝕刻停止層110包含不同的材料。合適的蝕刻停止層材料包括(但不限於)氮化鈦(TiN)、鉭(Ta)或氮化鉭(TaN)。在一些實施例中,省略第二蝕刻停止層110,且隨後的硬遮罩層112同時用作蝕刻停止層110和硬遮罩層112。
硬遮罩層112覆蓋在第二蝕刻停止層110上。硬遮罩層與上面的「光刻堆疊」結合使用,以經由以上描述的下層110、108、106和104轉移裝置圖案。硬遮罩層112可為單層或多層的組合。硬遮罩層112於此沒有描述,但是可使用本領域已知的能夠在10nm節點(16nm HPCD)或更低時提供圖案的材料和圖案化技術來製造。在一些實施例中,硬遮罩層112包含金屬或介電遮罩材料。合適的介電材料包括(但不限於)氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氧化鋁(AlO x)、氮化鋁(AlN)及其組合。熟習此項技術者將認識到,使用類似SiO的公式來表示氧化矽並不意味著在元素之間的任何特定化學計量關係。該公式僅標識了膜的主要元素。
第2圖顯示了類似於第1圖的實施例的電子裝置200,其中在其上形成有底部抗反射塗層(BARC)214和光阻216。所示的光阻216用溝槽218圖案化;然而,熟習此項技術者將認識到圖案可為任何合適的形狀或多種形狀的組合。
第3圖顯示了類似於第2圖在M1線蝕刻處理之後的電子裝置300。M1線蝕刻處理產生與光阻216中的溝槽218相對應的開口。在所示的實施例中,溝槽315形成穿過BARC 214、硬遮罩層112、第二蝕刻停止層110和第二金屬層108,以分別形成圖案化的BARC 314、圖案化的硬遮罩層312、圖案化的第二蝕刻停止層310和圖案化的第二金屬層308。所示的M1線蝕刻處理在第一蝕刻停止層106處停止。在一些實施例中,第一蝕刻停止層106也被圖案化。形成圖案化的層可在單個處理或多個處理中執行。
第4圖顯示了類似於第3圖在移除光阻216和圖案化的BARC 314,從而留下圖案化的硬遮罩層312之後的電子裝置400。光阻216和圖案化的BARC 314的移除可藉由熟習此項技術者已知的任何合適的技術來完成,包括(但不限於)各向異性的蝕刻和化學機械平面化(CMP)。
第5圖顯示了類似於第4圖在形成第二底部抗反射塗層(BARC )520和第二圖案化的光阻522之後的電子裝置500。所示的第二圖案化的光阻522相對於溝槽315以一定角度對準。所示的實施例顯示了具有相對於溝槽315以約90º對準的開口523的第二圖案化的光阻522;然而,熟習此項技術者將認識到在第二圖案化的光阻522和溝槽315之間的角度可在約10º至約90º的範圍中。第二BARC 520和第二圖案化的光阻522的沉積可藉由熟習此項技術者已知的任何合適的技術來完成。
第6圖顯示了類似於第5圖在經由圖案化的第二光阻522中的開口523進行各向異性蝕刻之後的電子裝置600。所示的蝕刻處理已移除了未被光阻材料覆蓋的第二BARC 520和圖案化的硬遮罩層312的部分,分別留下了圖案化的第二BARC 620和圖案化的硬遮罩層612。所示的蝕刻處理在圖案化的第二蝕刻停止層310和第一蝕刻停止層106處停止。留下位於第二圖案化的BARC 620和圖案化的第二蝕刻停止層310下方(相對於蝕刻方向)的裝置的部分。
第7圖顯示了類似於第6圖在移除圖案化的第二光阻522和圖案化的第二BARC 620之後電子裝置700。圖案化的第二光阻522和圖案化的第二BARC 620可被分別地或在相同處理中移除。
第8圖顯示了類似於第7圖在蝕刻處理以移除未被圖案化的硬遮罩層612覆蓋的第二蝕刻停止層310的部分之後的電子裝置800。這種處理使圖案化的第二金屬層308的頂部309曝露在圖案化的硬遮罩層612和圖案化的第二蝕刻停止層810之間的空間811中。
第9圖顯示了類似於第8圖在蝕刻以移除第二金屬層308和第一蝕刻停止層106的部分,以在第一蝕刻停止層906的焊墊上形成第二金屬的柱(通孔908)之後的電子裝置900。蝕刻還經由溝槽315將圖案轉移到第一金屬層104,以形成圖案化的第一金屬層904。
第10圖顯示了類似於第9圖在蝕刻任選的金屬襯墊103的曝露部分,以留下圖案化的任選金屬襯墊1003之後的電子裝置1000。在沒有任選的金屬襯墊103的實施例中,可跳過這個蝕刻處理。
第11圖顯示了類似於第10圖在沉積介電層1124之後的電子裝置1100。介電層1124可藉由熟習此項技術者已知的任何合適的技術來沉積。在一些實施例中,介電層1124包含低k介電質。在一些實施例中,低k介電質藉由可流動的CVD處理來沉積。
第12圖顯示了類似於第11圖在介電層1124的化學機械平面化(CMP)之後的電子裝置1200。CMP處理從介電層1124移除材料,以降低介電層1124、圖案化的硬遮罩層612和圖案化的第二蝕刻停止層810的頂部1125,以曝露圖案化的第二金屬層(通孔908)。圖案化的第二金屬層(通孔908)也稱為第一通孔(V1或Vx)。第一金屬層也稱為M1或Mx。第一通孔將圖案化的第一金屬層904連接到第一通孔之上的尚未藉由也被稱為M1-V1或Mx-Vx的組合而形成的層。
第13圖顯示了類似於第12圖在其上形成層堆疊之後的電子裝置1300。層堆疊類似於以一定角度旋轉的第1圖的層堆疊。層堆疊包含任選的第二金屬襯墊1330 (其可如上所述被省略)、第三金屬層1332、第三蝕刻停止層1334、第四金屬層1336、第四蝕刻停止層1338、第二硬遮罩層1340、第二BARC 1342和第三圖案化的光阻1344。出於說明性目的,第三圖案化的光阻1344的溝槽1346的圖案不同於第一通孔的圖案。所示的圖案不應被視為限制本揭露書的範圍。
第14圖顯示了類似於第13圖在已執行類似於第3圖所示的處理之後的電子裝置1400。簡而言之,經由在第三圖案化的光阻1344中的溝槽1346蝕刻第二BARC 1342、第二硬遮罩層1340和第四蝕刻停止層1338,以將圖案轉移到第四蝕刻停止層1338,以分別形成圖案化的第二BARC 1442、圖案化的第二硬遮罩層1440和圖案化的第四蝕刻停止層1438。
第15圖顯示了類似於第14圖在已執行類似於第4圖所示的處理之後的電子裝置1500。簡而言之,已經蝕刻了第三圖案化的光阻1344和圖案化的第二BARC 1442,並且將圖案1346、1446蝕刻到第四金屬層1336中,以產生具有圖案1546的圖案化的第四金屬層1536。
第16圖顯示了類似於第15圖在沉積第四BARC 1646和第四圖案化的光阻1648(類似於第5圖)之後的電子裝置1600。第四BARC 1646可與介電層1124相同或與其不同。沉積第四圖案化的光阻1648,並藉由熟習此項技術者已知的任何合適的技術(如,光刻法)來形成圖案1650。
第17圖顯示了類似於第16圖在將圖案1650轉移到圖案化的第二硬遮罩層1440中,以形成具有類似於圖案1650的圖案1750的圖案化的硬遮罩層1740之後的電子元件1700。也移除第四光阻1648和第四BARC 1646。
第18圖顯示了類似於第17圖在將圖案1750轉移到第三金屬層1332中,以形成圖案化的第三金屬層1332之後的電子裝置1800。修改圖案化的第四金屬層1536和圖案化的第四蝕刻停止層1438以分別變成圖案化的第四金屬層1836和圖案化的第四蝕刻停止層1838。第三金屬層1332用作經由通孔908與第一金屬線(M1或Mx)電接觸的第二金屬線(M2或Mx+1)。在一些實施例中,介電層1124和第二介電質2024防止在設計為絕緣的電線位置處的電洩漏/短路。在該第二金屬層與該第三金屬層之間的接觸具有小於或等於約20μΩ-cm的一有效電阻率。在該第二金屬層與該第三金屬層之間的接觸具有小於或等於約20nm的一電子平均自由路徑。
所示的實施例顯示了圖案化的第三金屬層1832相對於通孔908的不對準1855。這些特徵的不對準1855可能導致在相鄰通孔之間的電短路。第19圖顯示了類似於第18圖在使介電層1124的頂部1125和第二金屬層(通孔908)的頂部909凹陷之後的電子裝置1900。凹陷的介電層1124曝露第二金屬層(通孔908)的長度Lv。曝露的第二金屬層(通孔908)的長度Lv與介電層1124凹陷的量和第二金屬層908的頂部909凹陷的量成正比。在一些實施例中,曝露的第二金屬層(通孔908)的長度在約5Å到約100Å的範圍中,或在約10Å到約90Å的範圍中,或在約15Å到約80Å的範圍中,或在約20Å到約70Å的範圍中,或約25Å到約60Å的範圍中。在一些實施例中,曝露的第二金屬層的長度Lv大於或等於約2Å、5Å、10Å、15Å、20Å或25Å,該長度Lv與在第二金屬層的頂部到任選的金屬襯墊或第三金屬層的底部之間的距離相同。第三蝕刻停止層1334用作用於凹陷處理的遮罩,以保持通孔908的對準。
使介電層凹陷降低了第二金屬層(通孔908)的頂部909以曝露第二金屬層(通孔908)的側面911。因為第三蝕刻停止層1334用作遮罩,所以第二金屬層的側面911與圖案化的第三金屬層1832的側面1833對準。凹陷處理降低了第二金屬層(通孔908)的頂部909,並產生或增加了從第三金屬層1832的底部1831到頂部909的距離。
在所示的實施例中,存在任選的第二金屬襯墊1330,其將第三金屬層1832的底部1831與第二金屬層(通孔908)的頂部909隔開。在這種情況下,使頂部909凹陷會增加在頂部909和第三金屬層的底部1831之間的距離,並在頂部909和任選的第二金屬襯墊1330的底部1331之間產生距離。
第20圖顯示了類似於第19圖在沉積第二介電質2024並平坦化頂部2025以曝露第四金屬層1836作為第二通孔之後的電子裝置2000。在一些實施例中,第二通孔(V2)可將第二金屬線(第三金屬層1832)與藉由相似或不同方法沉積的後續金屬線連接。
在描述於此所討論的材料和方法的上下文中(特別是在以下的申請專利範圍的上下文中)術語「一(a)」和「一(an)」及類似指代的使用應解釋為皆涵蓋單數和複數,除非於此另外指出或與上下文明顯矛盾。除非於此另外指出,否則於此的數值的範圍的列舉僅旨在用作分別指代落入該範圍內的每個單獨值的簡寫方法,且每個單獨值都被併入說明書中,如同其於此被單獨列舉一樣。除非於此另外指出或與上下文明顯矛盾,否則於此描述的所有方法可以任何合適的順序執行。除非另外主張,否則於此提供的任何和所有示例或示例性語言(如「諸如」)的使用僅旨在更好地闡明材料和方法,且不對範圍構成限制。說明書中的任何語言都不應解釋為指示任何未要求保護的元件對於實施所揭露的材料和方法為必須的。
在整個說明書中,對「一個實施例」、「某些實施例」、「一個或多個實施例」或「一實施例」的引用是指結合該實施例而描述的特定特徵、結構、材料或特性包括在本揭露書的至少一個實施例。因此,在整個說明書的各個地方中出現的短語諸如「在一個或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」不一定是指本揭露書的相同實施例。在一個或多個實施例中,特定特徵、結構、材料或特性以任何合適的方式組合。
儘管已經參考特定實施例描述了本揭露書,但是應當理解,這些實施例僅是本揭露書的原理和應用的說明。對於熟習此項技術者將顯而易見的是,在不背離本揭露書的精神和範圍的情況下,可對本揭露書的方法和設備進行各種修改和變化。因此,本揭露書意圖包括在附隨的申請專利範圍及其等效元件的範圍內的修改和變化。
100:堆疊/電子裝置 101:基板 102:氧化物層 103:金屬襯墊 104:第一金屬層/下層 106:第一蝕刻停止層/下層 108:第二金屬層/下層 110:第二蝕刻停止層/下層/蝕刻停止層 112:硬遮罩層 200:電子裝置 214:底部抗反射塗層/BARC 216:光阻 218:溝槽 300:電子裝置 308:第二金屬層 310:第二蝕刻停止層 312:硬遮罩層 314:BARC 315:溝槽 400:電子裝置 500:電子裝置 520:第二底部抗反射塗層/第二BARC 522:光阻 523:開口 600:電子裝置 612:硬遮罩層 620:第二BARC 700:電子裝置 800:電子裝置 810:第二蝕刻停止層 811:空間 900:電子裝置 904:圖案化的第一金屬層 906:第一蝕刻停止層 908:通孔 909:頂部 911:側面 1000:電子裝置 1003:圖案化的任選金屬襯墊 1100:電子裝置 1124:介電層 1125:頂部 1200:電子裝置 1300:電子裝置 1330:任選的第二金屬襯墊 1331:底部 1332:第三金屬層 1334:第三蝕刻停止層 1336:第四金屬層 1338:第四蝕刻停止層 1340:第二硬遮罩層 1342:第二BARC 1344:第三圖案化的光阻 1346:溝槽/圖案 1400:電子裝置 1438:第四蝕刻停止層 1440:第二硬遮罩層 1446:圖案 1500:電子裝置 1536:第四金屬層 1546:圖案 1600:電子裝置 1646:第四BARC 1648:光阻 1650:圖案 1700:電子裝置 1740:圖案化的硬遮罩層 1750:圖案 1800:電子裝置 1831:底部 1832:第三金屬層 1833:側面 1836:第四金屬層 1855:不對準 1900:電子裝置 2000:電子裝置 2024:第二介電質 2025:頂部
為了可詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭露書的更詳細的描述,一些實施例顯示在附隨的圖式中。然而,應當注意,附隨的圖式中僅顯示了本揭露書的典型實施例,且因此不應被認為是對其範圍的限制,因為本揭露書可允許其他等效的實施例。於此所述的實施例藉由示例而顯示且不限於附隨的圖式的圖式,在附隨的圖式中,相似的元件符號指示相似的元件。
第1圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第2圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第3圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第4圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第5圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第6圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第7圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第8圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第9圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第10圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第11圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第12圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第13圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第14圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第15圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第16圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第17圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第18圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;
第19圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖;及
第20圖顯示了根據本揭露書的一個或多個實施例的電子裝置的等距視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
101:基板
102:氧化物層
904:圖案化的第一金屬層
908:通孔
909:頂部
911:側面
1124:介電層
1125:頂部
1330:任選的第二金屬襯墊
1331:底部
1334:第三蝕刻停止層
1438:第四蝕刻停止層
1740:圖案化的硬遮罩層
1831:底部
1832:第三金屬層
1833:側面
1836:第四金屬層

Claims (6)

  1. 一種形成多個完全對準的通孔的方法,該方法包含以下步驟: 使用一硬遮罩層和一圖案化的光阻在一第三金屬層上形成一圖案化的第四金屬層和一圖案化的第四蝕刻停止層; 使用該圖案化的第四金屬層和該圖案化的第四蝕刻停止層作為一遮罩,在一第二金屬層上的一圖案化的金屬襯墊的頂部上形成一圖案化的第三金屬層,該第二金屬層與一第一金屬層電接觸,該圖案化的第三金屬層和該圖案化的金屬襯墊未對準該第二金屬層,使得該第二金屬層的一頂部的一部分透過該圖案化的第三金屬層和該圖案化的金屬襯墊中的多個開口曝露;及 透過該圖案化的第三金屬層和該圖案化的金屬襯墊中的該等開口使該第二金屬層凹陷,以降低該第二金屬層的該頂部並曝露與該圖案化的第三金屬層的多個側面對準的該第二金屬層的多個側面及與該金屬襯墊的一底表面間隔一距離的該第二金屬層的一頂表面,該第二金屬層的該頂表面與該金屬襯墊的該底表面間隔開約5 Å至約100Å的範圍中的一距離。
  2. 如請求項1所述之方法,進一步包含在該第二金屬層的該凹陷的頂部、該圖案化的金屬襯墊和該圖案化的第三金屬層上沉積一介電層。
  3. 如請求項2所述之方法,其中該介電層包含一低k介電材料。
  4. 如請求項3所述之方法,其中該低k介電材料藉由一可流動的化學氣相沉積處理而沉積。
  5. 如請求項1所述之方法,其中在該第二金屬層與該第三金屬層之間的接觸具有小於或等於約20μΩ-cm的一有效電阻率。
  6. 如請求項1所述之方法,其中在該第二金屬層與該第三金屬層之間的接觸具有小於或等於約20nm的一電子平均自由路徑。
TW111142378A 2019-05-01 2020-04-28 完全對準消去處理及來自此處理的電子裝置 TWI833425B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962841788P 2019-05-01 2019-05-01
US62/841,788 2019-05-01

Publications (2)

Publication Number Publication Date
TW202312250A TW202312250A (zh) 2023-03-16
TWI833425B true TWI833425B (zh) 2024-02-21

Family

ID=73016711

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109114151A TWI785326B (zh) 2019-05-01 2020-04-28 完全對準消去處理及來自此處理的電子裝置
TW111142378A TWI833425B (zh) 2019-05-01 2020-04-28 完全對準消去處理及來自此處理的電子裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109114151A TWI785326B (zh) 2019-05-01 2020-04-28 完全對準消去處理及來自此處理的電子裝置

Country Status (7)

Country Link
US (2) US11410885B2 (zh)
EP (1) EP3963624A4 (zh)
JP (1) JP7393437B2 (zh)
KR (1) KR20210147118A (zh)
CN (1) CN113811988A (zh)
TW (2) TWI785326B (zh)
WO (1) WO2020223622A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785326B (zh) * 2019-05-01 2022-12-01 美商應用材料股份有限公司 完全對準消去處理及來自此處理的電子裝置
US11508617B2 (en) * 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11569166B2 (en) * 2020-08-31 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11315872B1 (en) * 2020-12-10 2022-04-26 International Business Machines Corporation Self-aligned top via
US20220367251A1 (en) * 2021-05-12 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
EP4312252A1 (en) * 2022-07-27 2024-01-31 Imec VZW A method for forming an interconnect structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080036089A1 (en) * 2003-11-17 2008-02-14 Kabushiki Kaisha Toshiba Semiconductor device having multilayer wiring structure
US20120329275A1 (en) * 2010-10-07 2012-12-27 International Business Machines Corporation Borderless interconnect line structure self-aligned to upper and lower level contact vias
TW201530692A (zh) * 2013-08-20 2015-08-01 Applied Materials Inc 使用消減技術形成之自對準互連
TW201740584A (zh) * 2016-02-22 2017-11-16 Samsung Electronics Co Ltd 記憶體元件
TW201913724A (zh) * 2017-08-31 2019-04-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4954423A (en) * 1985-08-06 1990-09-04 Texas Instruments Incorporated Planar metal interconnection for a VLSI device
JPH0621234A (ja) * 1992-07-06 1994-01-28 Hitachi Ltd 薄膜多層配線及びその製造方法
KR100368320B1 (ko) * 2000-12-28 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
JP2003264192A (ja) * 2002-03-07 2003-09-19 Sanyo Electric Co Ltd 配線構造、その製造方法、および光学装置
JP2008538591A (ja) * 2005-04-21 2008-10-30 ハネウエル・インターナシヨナル・インコーポレーテツド ルテニウム系材料およびルテニウム合金
KR20070119173A (ko) * 2006-06-14 2007-12-20 주성엔지니어링(주) 반도체 소자의 금속 배선 형성 방법
US9287162B2 (en) 2013-01-10 2016-03-15 Samsung Austin Semiconductor, L.P. Forming vias and trenches for self-aligned contacts in a semiconductor structure
US8912041B2 (en) * 2013-03-08 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming recess-free interconnect structure
US9059205B2 (en) * 2013-08-14 2015-06-16 International Business Machines Corporation Method of manufacturing a semiconductor device using source/drain epitaxial overgrowth for forming self-aligned contacts without spacer loss and a semiconductor device formed by same
US9799560B2 (en) * 2015-03-31 2017-10-24 Qualcomm Incorporated Self-aligned structure
US9362165B1 (en) * 2015-05-08 2016-06-07 Globalfoundries Inc. 2D self-aligned via first process flow
US10522402B2 (en) 2015-12-16 2019-12-31 Intel Corporation Grid self-aligned metal via processing schemes for back end of line (BEOL) interconnects and structures resulting therefrom
CN108780777B (zh) * 2016-02-02 2023-02-17 东京毅力科创株式会社 利用选择性沉积对金属和通孔进行自对准
JP6723128B2 (ja) * 2016-09-27 2020-07-15 東京エレクトロン株式会社 ニッケル配線の製造方法
KR102351353B1 (ko) 2016-11-09 2022-01-13 도쿄엘렉트론가부시키가이샤 방향성 자기 조립(dsa) 프로세스를 사용한 완전 자기 정렬 비아 형성을 위한 방법
TWI785326B (zh) * 2019-05-01 2022-12-01 美商應用材料股份有限公司 完全對準消去處理及來自此處理的電子裝置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080036089A1 (en) * 2003-11-17 2008-02-14 Kabushiki Kaisha Toshiba Semiconductor device having multilayer wiring structure
US20120329275A1 (en) * 2010-10-07 2012-12-27 International Business Machines Corporation Borderless interconnect line structure self-aligned to upper and lower level contact vias
TW201530692A (zh) * 2013-08-20 2015-08-01 Applied Materials Inc 使用消減技術形成之自對準互連
TW201740584A (zh) * 2016-02-22 2017-11-16 Samsung Electronics Co Ltd 記憶體元件
TW201913724A (zh) * 2017-08-31 2019-04-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
TW202107534A (zh) 2021-02-16
US11410885B2 (en) 2022-08-09
KR20210147118A (ko) 2021-12-06
EP3963624A1 (en) 2022-03-09
TWI785326B (zh) 2022-12-01
CN113811988A (zh) 2021-12-17
EP3963624A4 (en) 2023-06-07
US20220328352A1 (en) 2022-10-13
US20200350206A1 (en) 2020-11-05
WO2020223622A1 (en) 2020-11-05
JP7393437B2 (ja) 2023-12-06
JP2022530787A (ja) 2022-07-01
US11967527B2 (en) 2024-04-23
TW202312250A (zh) 2023-03-16

Similar Documents

Publication Publication Date Title
TWI833425B (zh) 完全對準消去處理及來自此處理的電子裝置
KR100566146B1 (ko) 교차점 자기메모리 집적회로용 자기정렬된 도전라인
TWI621161B (zh) 用於內連線的釕金屬特徵部填補
JP5637795B2 (ja) 装置
US9076844B2 (en) Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
JPWO2014057734A1 (ja) 配線形成方法
US11676854B2 (en) Selective ILD deposition for fully aligned via with airgap
US11227892B2 (en) MRAM integration with BEOL interconnect including top via
KR102495789B1 (ko) Mram을 위한 스페이서 방식 및 방법
TW202115934A (zh) 半導體結構的形成方法
TW202022146A (zh) 半導體裝置的形成方法
JP2022548849A (ja) カプセル化トポグラフィ支援自己整合型mramトップ・コンタクト
JP2009164175A (ja) 半導体装置の製造方法
TWI838721B (zh) 具有用於線後段互連及交叉點之改良隔離之減成法金屬蝕刻
WO2023116329A1 (en) Hybrid metal interconnects
TW202213634A (zh) 用於改良部分層堆疊之方法