TWI730990B - 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法 - Google Patents

用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法 Download PDF

Info

Publication number
TWI730990B
TWI730990B TW105131857A TW105131857A TWI730990B TW I730990 B TWI730990 B TW I730990B TW 105131857 A TW105131857 A TW 105131857A TW 105131857 A TW105131857 A TW 105131857A TW I730990 B TWI730990 B TW I730990B
Authority
TW
Taiwan
Prior art keywords
barrier layer
exposed
substrate
dielectric surfaces
exposed dielectric
Prior art date
Application number
TW105131857A
Other languages
English (en)
Other versions
TW201724359A (zh
Inventor
斯瑞蘭嘉薩V 凱薩波拉加達
凱文 摩拉斯
史瑞尼瓦思 古吉拉
任河
美荷 那克
大衛 湯普森
葉偉峰
程亞娜
曹勇
唐先敏
伯方 馬
迪尼斯 帕奇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201724359A publication Critical patent/TW201724359A/zh
Application granted granted Critical
Publication of TWI730990B publication Critical patent/TWI730990B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Abstract

在一些實施例中,一種形成互連結構的方法包括在基板頂上選擇性地沉積阻障層,該基板具有一或多個暴露的金屬表面和一或多個暴露的介電質表面,其中在該一或多個暴露的金屬表面頂上的阻障層的厚度大於在該一或多個暴露的介電質表面頂上的阻障層的厚度。在一些實施例中,一種形成互連結構的方法包括經由物理氣相沉積製程在基板頂上沉積包含鋁的蝕刻終止層;及經由化學氣相沉積製程在該蝕刻終止層頂上沉積阻障層,其中在沉積該蝕刻終止層之後將該基板從物理氣相沉積室移送到化學氣相沉積室而不使該基板暴露於大氣。

Description

用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
本揭示的實施例大體而言係關於處理基板的方法。
在14 nm技術節點之後電阻電容(RC)延遲是縮小銅內連線的重大阻礙。阻障層通常被大致均勻地沉積在下方的金屬和介電質表面上。然而,阻障層材料通常具有高k值而且增加內連線的電容,從而導致RC延遲。
傳統上,已經使用例如厚度約100埃的厚阻障膜來滿足蝕刻終止、阻障及可靠性參數。然而,厚阻障膜亦導致內連線的總電容增加。為了減小阻障膜的厚度,可以在內連線內使用薄的高選擇性蝕刻終止層(ESL),例如氮化鋁(AlN)。然而,這種薄的高選擇性ESL可被輕易氧化,導致較低的蝕刻選擇率還有可靠性性能降低。此外,當被分開沉積時,較薄的介電質阻障層和薄的高選擇性ESL無法作為適當氣密的銅(Cu)阻障層。
因此,發明人開發了改良的技術以在形成內連線的製程中選擇性沉積介電質阻障層並在形成內連線的製程中沉積含鋁的蝕刻終止層。
在一些實施例中,一種形成互連結構的方法包括以下步驟:在基板頂上選擇性地沉積阻障層,該基板具有一或多個暴露的金屬表面和一或多個暴露的介電質表面,其中在該一或多個暴露的金屬表面頂上的阻障層的厚度大於在該一或多個暴露的介電質表面頂上的阻障層的厚度,其中該阻障層係藉由修飾該一或多個暴露的介電質表面以抑制阻障層在該一或多個暴露的介電質表面頂上形成或者利用預配置的化學前驅物來使該基板暴露於原子層沉積製程以相對於該一或多個暴露的介電質表面優先在該一或多個暴露的金屬表面頂上沉積該阻障層中之一者所選擇性沉積的。
在一些實施例中,一種形成互連結構的方法包括以下步驟:經由物理氣相沉積製程在基板頂上沉積包含鋁的蝕刻終止層,其中該基板包含一或多個暴露的金屬表面和一或多個暴露的介電質表面;隨後將該基板從第一處理腔室移送到第二處理腔室而不使該基板暴露於大氣;以及在該第二處理腔室中經由化學氣相沉積製程在該蝕刻終止層頂上沉積阻障層。
在一些實施例中,一種非暫態電腦可讀媒體,該非暫態電腦可讀媒體上儲存有指令,該指令在被執行時使形成互連結構的方法被執行。該方法可以包括本文揭示的任一實施例。
以下描述本揭示的其他和進一步的實施例。
本文提供了用於形成互連結構的方法。本發明的方法有利地在形成內連線的製程中促進選擇性地沉積阻障層以降低膜厚度並減小電阻電容(RC)延遲,同時保持蝕刻終止和阻障層特性。本發明的方法還有利地在形成內連線的製程中促進沉積含鋁蝕刻終止層以最小化RC延遲。本發明的方法可被用於形成積體電路中的金屬內連線、或形成金屬閘極或金屬-觸點縫隙填充製程、以及其他利用以導電材料填充特徵的適當應用。
第1圖描繪依據本揭示的一些實施例用於形成互連結構的方法100之流程圖。以下參照第2A-2B圖描繪的互連結構描述方法100。方法100可以在任何設以用於化學氣相沉積(CVD)、物理氣相沉積(PVD)、或原子層沉積(ALD)中之一者或更多者的適當處理腔室中進行。可用於進行本文揭示的發明方法的例示性處理系統可以包括、但不限於全都可以向美國加州聖克拉拉的應用材料公司購得的ENDURA®、CENTURA®、或PRODUCER®線處理系統中之任一者。還可以結合本文提供的教示適當地使用其它處理腔室,包括可向其他製造商購得的處理腔室。
方法100可以在基板上進行,基板例如第2A圖描繪的基板200。在一些實施例中,基板200包括一或多個暴露的金屬表面206和一或多個暴露的介電質表面204。在一些實施例中,基板200被用於半導體製造製程中。例如,基板200可以包含矽(Si)、鍺、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或未圖案化晶圓絕緣體上矽(SOI)、摻雜矽或類似物中的一者或更多者。基板200可以具有各種尺寸,例如200 mm、300 mm或450 mm直徑的晶圓或其他尺寸。另外,基板200可以包括附加層202,或者可以具有一或多個形成在基板200中或基板200上的完成或部分完成結構或元件。在一些實施例中,一或多個暴露的金屬表面206是銅、鈷或鎢中的一者。在一些實施例中,一或多個暴露的介電質表面204可以是氧化矽、低k介電材料(例如介電常數比氧化矽小或小於約3.9的材料)、或類似物。
方法100開始於步驟102,如第2B圖所描繪,其中阻障層208被選擇性地沉積在基板200的頂上。本文中使用的術語「選擇性地沉積」或「選擇性沉積」等意指第一量的材料被沉積在第一表面上,並且第二量的材料被沉積在第二表面上,其中第二量的材料少於第一量的材料。如第2B圖所描繪,在一或多個暴露的金屬表面206頂上的阻障層208的厚度大於在一或多個暴露的介電質表面204頂上的阻障層208的厚度。本文中使用的「阻障層」例如阻障層208是用於阻止下面的金屬向上擴散到隨後沉積的互連層中、而且在隨後沉積的互連層中蝕刻開口時亦可以用作蝕刻終止層的層。在一些實施例中,阻障層208是介電層。在一些實施例中,阻障層208是矽碳氮化物(SiCN)。在一些實施例中,在一或多個暴露的金屬表面206頂上的阻障層208的厚度為約40至約70埃。在一些實施例中,在一或多個暴露的介電質表面204頂上的介電層的厚度為約10埃至約20埃。
阻障層208可以藉由任何適以提供適當厚度的阻障層208的製程來沉積。例如,在一些實施例中,阻障層208可以經由沉積製程形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、或循環沉積製程,例如原子層沉積(ALD)或類似製程。
在一些實施例中,可以藉由使基板暴露於處理製程來選擇性地沉積阻障層208,該處理製程設以修飾一或多個暴露的介電質表面204,以抑制或延遲阻障層208在一或多個暴露的介電質表面204頂上形成。該處理製程使基板暴露於處於溶液相或氣相的處理化學品,此舉相對於金屬表面選擇性地結合介電質表面204上的羥基,以便抑制或延遲阻障層208在一或多個暴露的介電質表面204頂上形成。例示性的處理化學品包括(Cn H2n+2 )x Si(A)4-x ,其中n是1和18之間的整數,x是1和3之間的整數,以及A是選自二烷基醯胺、單烷基醯胺、氯化物、磷酸鹽等家族的陰離子基團。可用於使基板暴露於處理化學品的例示性製程包括化學氣相沉積循環沉積製程,例如原子層沉積(ALD)。
在一些實施例中,可以利用預先配置的化學前驅物來選擇性地沉積阻障層208,以沉積在一或多個暴露的金屬表面206頂上。例示性的化學前驅物包括烷基胺基矽烷,例如雙(二乙基胺基)矽烷(BDEAS)、二異丙基胺基矽烷(DIPAS)、三(二甲基胺基)矽烷(3-DMAS)、四乙炔化矽、及類似物。此類前驅物可以與諸如氨(NH3 )、肼(N2 H4 )、二甲基肼、及氫氣(H2 )等反應氣體一起被以熱原子層沉積模式(ALD)模式使用。或者,這種化學前驅物可被用於其中在反應物脈衝期間施加電漿的電漿增強原子層沉積製程(PEALD)中。用於熱和電漿ALD製程的典型沉積溫度將是攝氏約175至約450度。
發明人已經觀察到,阻障層通常被大致均勻地沉積在下方的金屬和介電質表面的頂上。然而,阻障層208不需要為了達到阻障層的目的而被大致均勻地沉積在金屬和介電質表面的頂上。例如,阻障層的蝕刻終止功能僅在下方的介電質部分的頂上被利用,而阻障特性僅在下方的金屬部分的頂上被利用。因此,選擇性地沉積阻障層208使得暴露的金屬表面206頂上的阻障層208的厚度大於介電質表面204頂上的阻障層208的厚度可有利地降低膜厚度並減少RC延遲,同時保持蝕刻終止和阻障層特性。在一些實施例中,發明人已經觀察到,如方法100中所述選擇性地沉積阻障層208比毯覆(例如均勻厚度)沉積阻障層208有利地降低了約5%至大約12%的電容。
按照方法100,基板200可以進行進一步處理以完成積體電路的形成。例如,在一些實施例中,可以沉積另外的材料層來形成下一個金屬化層。
第4圖描繪依據本揭示的一些實施例用於形成互連結構的方法400之流程圖。以下參照第5A-5C圖描繪的互連結構來描述方法400。方法400可以在任何設以用於化學氣相沉積(CVD)、物理氣相沉積(PVD)、或原子層沉積(ALD)中之一者或更多者的適當處理腔室中進行。可被用於進行本文揭示的發明方法的例示性處理系統可以包括、但不限於全都可以向美國加州聖克拉拉的應用材料公司購得的ENDURA®、CENTURA®、或PRODUCER®線處理系統、及ALPS® Plus或SIP ENCORE® PVD處理腔室中的任一者。還可以結合本文提供的教示適當地使用其它處理腔室,包括可向其他製造商購得的處理腔室。
方法400可以在第5A圖描繪的基板500上進行。在一些實施例中,基板500包括一或多個暴露的金屬表面506和一或多個暴露的介電質表面504。在一些實施例中,基板500被用於半導體製造製程中。例如,基板500可以包含矽(Si)、鍺、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓及圖案化或未圖案化晶圓絕緣體上矽(SOI)、摻雜矽或類似物中的一者或更多者。基板500可以具有各種尺寸,例如200 mm、300 mm或450 mm直徑的晶圓或其他尺寸。另外,基板500可以包括附加的材料層502,或者可以具有一或多個形成在基板500中或基板500上的完成或部分完成結構或元件。在一些實施例中,一或多個暴露的金屬表面506是銅、鈷或鎢中的一者。在一些實施例中,一或多個暴露的介電質表面504可以是氧化矽、低k材料(例如介電常數比氧化矽小或小於約3.9的材料)、或類似物。
方法400開始於步驟402,如第5B圖所描繪,其中蝕刻終止層508被沉積在一或多個暴露的金屬表面506和一或多個暴露的介電質表面504的頂上。蝕刻終止層508包含鋁。在一些實施例中,蝕刻終止層508是氮化鋁、氧化鋁、或氮氧化鋁中之一者。在一些實施例中,蝕刻終止層的厚度為約10埃至約40埃,例如約15埃。蝕刻終止層508是在PVD處理腔室中經由物理氣相沉積(PVD)製程沉積的。例如,在一些實施例中,藉由提供處理氣體到PVD處理腔室以物理濺射來自鋁靶的來源來例如使靶射出靶材的原子,隨後將原子導向基板200。在一些實施例中,處理氣體可以包含含氮氣體(例如氮氣(N2 ))或含氧氣體(例如氧氣(O2 ))中之至少一者。在一些實施例中,處理氣體可以進一步包含惰性氣體,例如氬(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)、或類似氣體。濺射出的金屬原子與含有例如氧、氮、碳及/或氫等物種的反應電漿反應。在一些實施例中,可以從第一處理氣體形成電漿,以便從靶濺射出來源材料。電漿可以藉由耦合足夠的能量來形成,例如來自電源的射頻(RF)能量,以點燃第一處理氣體而形成電漿。
隨後可以將基板從第一處理腔室轉移到第二處理腔室而不使基板暴露於大氣。可以在第二處理腔室中將阻障層沉積在蝕刻終止層的頂上。例如,在步驟404並如第5C圖所描繪,在第二處理腔室中將阻障層510沉積在蝕刻終止層508的頂上。在一些實施例中,阻障層510是矽碳氮化物(SiCN)或碳氧化矽(SiOC)中之一者。在一些實施例中,阻障層510的厚度為約30埃至約40埃。阻障層510是經由化學氣相沉積(CVD)製程形成的。用於阻障層沉積的一般處理條件包括攝氏約350至約450度的腔室溫度及以約2至約4托的壓力提供到處理腔室的處理氣體,例如氬氣、氮氣及氨。
在沉積蝕刻終止層508之後,將基板500從物理氣相沉積室轉移到化學氣相沉積室以沉積阻障層510而不使基板500暴露於大氣。發明人已經觀察到,在不暴露於大氣之下轉移基板500可防止蝕刻終止層508氧化,此舉可導致較低的蝕刻選擇率而且還降低電可靠性的性能。在上述的蝕刻終止層厚度之下,整個蝕刻終止層可能被氧化,從而會影響下方的金屬線材料以及下方的低k介電材料,導致RC延遲增加。例如,對於具有氮化鋁(AlN)蝕刻終止層和隨後的矽碳氮化物(SICN)阻障層的方案來說,在整合主機中原位沉積可確保電遷移性能明顯改善。使用薄氮化鋁(AlN)層(例如在上述的厚度範圍)可導致整個氮化鋁(AlN)膜氧化。結果,氧氣/水分會進一步影響金屬線中的下方膜(例如鈷(Co)和銅(Cu))。此外,由於薄氮化鋁(AlN)層不是氣密的,所以低k介電質膜會吸收水分,該水分之後即使在沉積阻障層之前暴露於中至高溫除氣/退火製程時亦難以去除。隨後捕獲的水分會導致氮化鉭阻障層材料氧化,並於隨後導致可靠性問題。因此,在首先形成有介電質阻障層(例如SiCN、SiOC或上述之組合)、隨後是蝕刻終止層(例如AlN、AlON、AlOx)的製程方案中,整合主機中的原位沉積為整體更薄的疊層確保良好的氣密阻障性能,此舉將進而減少RC延遲。
本文描述的方法可以在個別的處理腔室中進行,該處理腔室可以被設置在獨立的配置中或作為群集工具的一部分,該群集工具例如以下參照第3圖描述的整合工具300(即群集工具)。整合工具300的實例包括可向美國加州聖克拉拉的應用材料公司購得的CENTURA® 和ENDURA® 整合工具。然而,本文描述的方法亦可以使用其他具有適當耦接的處理腔室的群集工具或在其他適當的處理腔室中實施。例如,在一些實施例中,以上論述的發明方法可以有利地在群集工具中進行,使得在處理步驟之間存在有限的或沒有破真空。例如,減少的破真空可以限制或防止晶種層或基板的其它部分污染。
整合工具300包括真空密閉處理平臺(處理平臺301)、工廠介面304、及系統控制器302。處理平臺301包含多個處理腔室,例如操作地耦接到真空基板移送室(移送室303)的314A、314B、314C、及314D。工廠介面304藉由一或多個裝載閘腔室(load lock chamber)(兩個裝載閘腔室,例如第4圖中圖示的306A和306B)操作地耦接到移送室303。
在一些實施例中,工廠介面304包含至少一個塢站307、至少一個工廠介面機器人338以便於移送半導體基板。塢站307設以接受一或多個前開式晶圓傳送盒(FOUP)。在第4圖的實施例中圖示出四個FOUP,例如305A、305B、305C、及305D。工廠介面機器人338設以從工廠介面304移送基板通過裝載閘腔室(例如306A和306B)到達處理平臺301。每個裝載閘腔室306A和306B都具有耦接到工廠介面304的第一端口和耦接到移送室303的第二端口。裝載閘腔室306A和306B被耦接到壓力控制系統(未圖示),壓力控制系統抽空並排空裝載閘腔室306A和306B,以便於在移送室303的真空環境與工廠介面304的大體周遭(例如大氣)環境之間傳送基板。移送室303具有位於移送室303中的真空機器人342。真空機器人342能夠在裝載閘腔室306A和306B與處理腔室314A、314B、314C、及314D之間移送基板321。
在一些實施例中,處理腔室314A、314B、314C、及314D被耦接到移送室303。處理腔室314A、314B、314C、及314D至少包含物理氣相沉積(PVD)腔室和化學氣相沉積(CVD)腔室。還可以設置附加的腔室,例如附加的CVD腔室、PVD腔室、退火腔室、或類似腔室。CVD和PVD腔室可以包括任何適於執行全部或部分的、如以上論述的本文描述方法的腔室。
在一些實施例中,一或多個可選的維修室(圖示為316A和316B)可被耦接到移送室303。維修室316A和316B可設以執行其他的基板製程,例如除氣、定向、基板量測、冷卻及類似製程。
系統控制器302利用直接控制處理腔室314A、314B、314C、及314D或者藉由控制與處理腔室314A、314B、314C、及314D以及工具300相關聯的電腦(或控制器)來控制工具300的操作。在操作中,系統控制器302能夠從相應的腔室和系統收集和反饋數據,以最佳化工具300的性能。系統控制器302通常包括中央處理單元(CPU)330、記憶體334、及支持電路(support circuit)332。CPU 330可以是可在工業環境中使用的、任何形式的通用電腦處理器中之一者。支持電路332傳統上被耦接到CPU 330並可包含快取記憶體、時鐘電路、輸入/輸出子系統、電源等。諸如上述方法的軟體常式可被儲存在記憶體334中,而且在被CPU 330執行時將CPU 330轉換成特定用途的電腦(系統控制器)302。軟體常式亦可被位於工具300遠端的第二控制器(未圖示)儲存及/或執行。
儘管前述係針對本揭示之實施例,但可以在不偏離本揭示之基本範圍下設計出本揭示之其他的和進一步的實施例。
100‧‧‧方法 102‧‧‧步驟 200‧‧‧基板 202‧‧‧附加層 204‧‧‧介電質表面 206‧‧‧金屬表面 208‧‧‧阻障層 300‧‧‧整合工具 301‧‧‧處理平臺 302‧‧‧系統控制器 303‧‧‧移送室 304‧‧‧工廠介面 305A-D‧‧‧前開式晶圓傳送盒(FOUP) 306A-B‧‧‧裝載閘腔室 307‧‧‧塢站 314A-D‧‧‧處理腔室 316A-B‧‧‧維修室 321‧‧‧基板 330‧‧‧中央處理單元(CPU) 332‧‧‧支持電路 334‧‧‧記憶體 338‧‧‧工廠介面機器人 342‧‧‧真空機器人 400‧‧‧方法 402‧‧‧步驟 404‧‧‧步驟 500‧‧‧基板 502‧‧‧附加的材料層 504‧‧‧介電質表面 506‧‧‧金屬表面 508‧‧‧蝕刻終止層 510‧‧‧阻障層
可參照附圖中描繪的本揭示之說明性實施例來瞭解以上簡要概述和以下更詳細論述的本揭示實施例。然而,附圖僅圖示本揭示之典型實施例,因此不應將該等附圖視為範圍的限制,因本揭示可認可其他等同有效的實施例。
第1圖繪示依據本揭示的一些實施例用於形成互連結構的方法之流程圖。
第2A-2B圖繪示依據本揭示的一些實施例選擇性地沉積介電質阻障層的各個階段。
第3圖繪示依據本揭示的一些實施例適於執行用於處理基板的方法之群集工具。
第4圖繪示依據本揭示的一些實施例用於形成互連結構的方法之流程圖。
第5A-5C圖繪示依據本揭示的一些實施例沉積含鋁蝕刻終止層的各個階段。
為了便於理解,已在可能處使用相同的元件符號來指稱對圖式而言相同的元件。圖式不是依比例繪製,而且可以為了清楚而簡化。可以將一個實施例的元件和特徵有益地併入其他實施例中而無需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
100‧‧‧方法
102‧‧‧步驟

Claims (16)

  1. 一種形成一互連結構的方法,包含以下步驟:在一基板頂上選擇性地沉積一阻障層,該基板具有一或多個暴露的金屬表面和一或多個暴露的介電質表面,其中在該一或多個暴露的金屬表面頂上的阻障層的厚度大於在該一或多個暴露的介電質表面頂上的阻障層的厚度,其中該阻障層係藉由修飾該一或多個暴露的介電質表面以抑制阻障層在該一或多個暴露的介電質表面頂上形成或者利用預配置的化學前驅物來使該基板暴露於一原子層沉積製程以相對於該一或多個暴露的介電質表面優先在該一或多個暴露的金屬表面頂上沉積該阻障層中之一者所選擇性沉積的,以及其中在該一或多個暴露的金屬表面頂上的阻障層的厚度為約40至約70埃及在該一或多個暴露的介電質表面頂上的阻障層的厚度為約10至約40埃。
  2. 如請求項1所述之方法,其中修飾該一或多個暴露的介電質表面之步驟進一步包含以下步驟:使該基板暴露於一處理化學品,該處理化學品相對於該一或多個暴露的金屬表面選擇性地結合該一或多個暴露的介電質表面上的羥基。
  3. 如請求項2所述之方法,其中該處理化學品具有一式(CnH2n+2)xSi(A)4-x,其中n為1和18 之間的一整數,x為1和3之間的一整數,以及A為一選自二烷基醯胺、單烷基醯胺、氯化物、或磷酸鹽中之一者的陰離子基團。
  4. 如請求項1所述之方法,其中該化學前驅物是雙(二乙基胺基)矽烷(BDEAS)、二異丙基胺基矽烷(DIPAS)、三(二甲基胺基)矽烷(3-DMAS)、或四乙炔化矽。
  5. 如請求項1至4中任一項所述之方法,其中該一或多個暴露的金屬表面為銅、鈷、或鎢中之一者。
  6. 如請求項1至4中任一項所述之方法,其中該一或多個暴露的介電質表面為一低k介電材料。
  7. 如請求項1至4中任一項所述之方法,其中該阻障層為SiCN。
  8. 一種形成一互連結構的方法,包含以下步驟:在一第一處理腔室中經由一物理氣相沉積製程在一基板頂上沉積一包含鋁的蝕刻終止層,其中該基板包含一或多個暴露的金屬表面和一或多個暴露的介電質表面;隨後將該基板從該第一處理腔室移送到一第二處理腔室而不使該基板暴露於大氣;以及在該第二處理腔室中經由一化學氣相沉積製程在該蝕刻終止層頂上沉積一阻障層。
  9. 如請求項8所述之方法,其中該蝕刻終止層為氮化鋁、氧化鋁、或氮氧化鋁中之一者。
  10. 如請求項8所述之方法,其中該阻障層為矽碳氮化物(SiCN)或碳氧化矽(SiOC)中之一者。
  11. 如請求項8至10中任一項所述之方法,其中該蝕刻終止層的一厚度為約15埃。
  12. 如請求項8至10中任一項所述之方法,其中該阻障層的一厚度為約30埃至約40埃。
  13. 一種非暫態電腦可讀媒體,該非暫態電腦可讀媒體上儲存有指令,該等指令在被執行時使一用於形成一互連結構的方法進行,該方法包含以下步驟:在一基板頂上選擇性地沉積一阻障層,該基板具有一或多個暴露的金屬表面和一或多個暴露的介電質表面,其中在該一或多個暴露的金屬表面頂上的阻障層的厚度大於在該一或多個暴露的介電質表面頂上的阻障層的厚度,其中該阻障層係藉由修飾該一或多個暴露的介電質表面以抑制阻障層在該一或多個暴露的介電質表面頂上形成或者利用預配置的化學前驅物來使該基板暴露於原子層沉積製程以相對於該一或多個暴露的介電質表面優先在該一或多個暴露的金屬表面頂上沉積該阻障層中之一者所選擇性沉積的,以及其中 在該一或多個暴露的金屬表面頂上的阻障層的厚度為約40至約70埃及在該一或多個暴露的介電質表面頂上的阻障層的厚度為約10至約40埃。
  14. 如請求項13所述之非暫態電腦可讀媒體,其中修飾該一或多個暴露的介電質表面之步驟進一步包含以下步驟:使該基板暴露於一處理化學品,該處理化學品相對於該一或多個暴露的金屬表面選擇性地結合該一或多個暴露的介電質表面上的羥基。
  15. 如請求項14所述之非暫態電腦可讀媒體,其中該處理化學品具有一式(CnH2n+2)xSi(A)4-x,其中n為1和18之間的一整數,x為1和3之間的一整數,以及A為選自二烷基醯胺、單烷基醯胺、氯化物、或磷酸鹽中之一者的一陰離子基團。
  16. 如請求項13所述之非暫態電腦可讀媒體,其中該化學前驅物是雙(二乙基胺基)矽烷(BDEAS)、二異丙基胺基矽烷(DIPAS)、三(二甲基胺基)矽烷(3-DMAS)、或四乙炔化矽。
TW105131857A 2015-10-04 2016-10-03 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法 TWI730990B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562236953P 2015-10-04 2015-10-04
US62/236,953 2015-10-04

Publications (2)

Publication Number Publication Date
TW201724359A TW201724359A (zh) 2017-07-01
TWI730990B true TWI730990B (zh) 2021-06-21

Family

ID=58447596

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105131857A TWI730990B (zh) 2015-10-04 2016-10-03 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法

Country Status (3)

Country Link
US (2) US10109520B2 (zh)
TW (1) TWI730990B (zh)
WO (1) WO2017062355A2 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105702586B (zh) * 2016-04-28 2019-06-07 京东方科技集团股份有限公司 一种薄膜晶体管、阵列基板、其制作方法及显示装置
US10358719B2 (en) * 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10727111B2 (en) * 2017-07-18 2020-07-28 Taiwan Semiconductor Manufaturing Co., Ltd. Interconnect structure
CN109309044B (zh) * 2017-07-28 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102344320B1 (ko) * 2017-08-11 2021-12-28 삼성전자주식회사 더미 콘택을 갖는 반도체 소자
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020019126A1 (en) * 1999-06-03 2002-02-14 Alan Reinberg Self-aligned etch stop for polycrystalline silicon plugs on a semiconductor device
US20120252208A1 (en) * 2011-03-28 2012-10-04 Jang Woojin Method of forming metal interconnections of semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2010141115A2 (en) * 2009-02-19 2010-12-09 Massachusetts Institute Of Technology Directed material assembly
KR20110119399A (ko) * 2010-04-27 2011-11-02 삼성전자주식회사 반도체 소자의 제조장치 및 이를 이용한 반도체 소자의 제조방법
US8501600B2 (en) 2010-09-27 2013-08-06 Applied Materials, Inc. Methods for depositing germanium-containing layers
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9299605B2 (en) 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
CN107406977A (zh) 2015-02-26 2017-11-28 应用材料公司 使用自组装单层的选择性电介质沉积的方法
US10199230B2 (en) 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020019126A1 (en) * 1999-06-03 2002-02-14 Alan Reinberg Self-aligned etch stop for polycrystalline silicon plugs on a semiconductor device
US20120252208A1 (en) * 2011-03-28 2012-10-04 Jang Woojin Method of forming metal interconnections of semiconductor device

Also Published As

Publication number Publication date
TW201724359A (zh) 2017-07-01
WO2017062355A3 (en) 2018-02-22
US10707122B2 (en) 2020-07-07
US20170098575A1 (en) 2017-04-06
US10109520B2 (en) 2018-10-23
WO2017062355A2 (en) 2017-04-13
US20190027403A1 (en) 2019-01-24

Similar Documents

Publication Publication Date Title
TWI730990B (zh) 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US9947578B2 (en) Methods for forming low-resistance contacts through integrated process flow systems
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
CN107424955B (zh) 用于钴的锰阻挡层和粘附层
US11908696B2 (en) Methods and devices for subtractive self-alignment
JP7465287B2 (ja) 自己形成バリア層を備えた低誘電率誘電体
US11270911B2 (en) Doping of metal barrier layers
JPWO2013125449A1 (ja) 半導体装置の製造方法、記憶媒体及び半導体装置
TWI840569B (zh) 低k介電質之自形成阻障層
US20230023235A1 (en) Enhanced stress tuning and interfacial adhesion for tungsten (w) gap fill
US20240006235A1 (en) Composite barrier layers
US20230070489A1 (en) Doped tantalum-containing barrier films
TW202407133A (zh) 整合的清潔及選擇性鉬沉積製程
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置
TW202204055A (zh) 用於選擇性間隙填充之低溫電漿預清潔
JP2014130983A (ja) 半導体装置の製造方法