TWI751637B - 用於循環與選擇性材料移除與蝕刻的處理腔室 - Google Patents

用於循環與選擇性材料移除與蝕刻的處理腔室 Download PDF

Info

Publication number
TWI751637B
TWI751637B TW109126612A TW109126612A TWI751637B TW I751637 B TWI751637 B TW I751637B TW 109126612 A TW109126612 A TW 109126612A TW 109126612 A TW109126612 A TW 109126612A TW I751637 B TWI751637 B TW I751637B
Authority
TW
Taiwan
Prior art keywords
plasma
diffuser
gas
processing chamber
disposed
Prior art date
Application number
TW109126612A
Other languages
English (en)
Other versions
TW202116116A (zh
Inventor
托恩Q 崔恩
書南 朴
金正勳
迪米奇 路柏曼斯基
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202116116A publication Critical patent/TW202116116A/zh
Application granted granted Critical
Publication of TWI751637B publication Critical patent/TWI751637B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

於此描述一種用於基板蝕刻的方法和設備。於此所述的處理腔室包括:源模組、處理模組、流動模組和排氣模組。RF源可耦接至腔室,且遠端電漿可在源模組中產生且直接電漿可在處理模組中產生。所描述的循環蝕刻處理可使用交替的自由基和直接電漿以蝕刻基板。

Description

用於循環與選擇性材料移除與蝕刻的處理腔室
本揭露書的實施例關於用於處理半導體基板的設備和方法。更具體地,本揭露書的實施例關於具有經配置以實施循環蝕刻處理之自由基電漿源和直接電漿源的處理腔室。
電子裝置(諸如平板顯示器和積體電路)通常藉由層被沉積在基板上且經沉積的材料被蝕刻成所欲的圖案之一系列的處理而製造。處理通常包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿強化CVD(PECVD)和其它電漿處理。具體地,電漿處理包括供應處理氣體混合物到真空腔室,並施加徑向頻率功率(RF功率),以激發處理氣體成電漿狀態。電漿分解氣體混合物成實施所欲的沉積或蝕刻處理的離子物種。
在電漿處理期間所遭遇的一個問題是與在處理期間在基板之上建立均勻的電漿密度有關的困難,此導致在基板的中心區域和邊緣區域之間的不均勻處理。對於建立均勻電將密度的困難可藉由在自然電流、氣體流和熱分佈中的歪斜而貢獻,自然電流、氣體流和熱分佈中的歪斜係由於物理處理腔室設計的不對稱而導致。這樣的歪斜不僅導致不均勻的電漿密度,也使得難以使用其它的處理變數以控制電漿的均勻性。因此,多個處理腔室可能是必要的,以滿足各種的處理操作。
另外,在一些基板處理系統中,電漿係產生在一或多個基板被處理的相同位置中;在其它例子中,電漿係產生在一個位置中,並移動到(多個)基板被處理的另一個位置。所產生的電漿經常含有高能量的及/或高腐蝕性的物種及/或高能量的電子,使得產生電漿的設備有時由於與高能量的物種及/或電子接觸而降級。例如,曝露於高能量的物種及/或電子的材料可被蝕刻及/或濺射,產生可繞腔室部件的各種表面移動,且可與腔室部件的各種表面反應或沉積在腔室部件的各種表面上之經蝕刻的及/或濺射的材料,從而損壞或需要增加的腔室的維護週期。
現有的乾式蝕刻室係實施用於以高離子能量用於高深寬比結構蝕刻的各向異性蝕刻。然而,由於高離子能量的轟擊,基板可藉由電漿而損壞,這導致裝置電流的洩漏。另外,副產品的再沉積可使得各向異性蝕刻變得困難。
當技術節點進步時,對於越來越小的和選擇性的蝕刻能力的需求是極為重要的。因此,存在有對於可致使可被實施用於先進的技術節點之可變的處理容積、改善的流導和改善的處理均勻性的處理腔室的需求。此外,存在有用於提供改善的材料選擇性之蝕刻方法的需求。
在一個實施例中,提供有一種處理腔室設備。設備包括:腔室本體,界定處理區域且經配置以在腔室本體中產生直接電漿,且包含靜電夾盤之基板支撐組件可被設置於處理區域內。包括板堆疊的源模組可耦接至腔室本體,且板堆疊可進一步界定處理區域並經配置以在源模組中產生遠端電漿。流動模組可耦接至腔室本體而包含對稱的流量閥及對稱的渦輪分子泵之排氣模組可耦接到流動模組。腔室本體、源模組、流動模組和排氣模組可經配置以對稱地處理基板。
在另一個實施例中,提供有一種處理腔室設備。設備包括:腔室本體,界定處理區域,且包括靜電夾盤的基板支撐組件可被配置在處理區域內。包括板堆疊的源模組也可被耦接至腔室本體。板堆疊可包括第一擴散器、面板、陶瓷環、第二擴散器、氣體分配裝置和電漿阻擋篩網。流動模組可耦接至腔室本體,且排氣模組可耦接到流動模組。
在又一個實施例中,提供有一種處理基板的方法。方法包括以下步驟:在處理腔室的處理區域中產生直接電漿,及將配置在處理區域中的基板支撐組件上之基板曝露到由直接電漿所產生的離子,以改質基板的層。遠端電漿可被產生於耦接至處理腔室的源模組,且基板的經改質層可被曝露至由遠端電漿所產生的自由基,以從基板移除經改質的層。將基板曝露到離子和將基板曝露於自由基也可被重複。
本揭露書大體關於具有遠端電漿源和直接電漿源的腔室。遠端電漿源可經配置以產生自由基,且直接電漿源可經配置以產生離子。另外,該腔室包括各種設備,以在電漿處理基板的期間提供用於改良的對稱性。此外,還揭露有一種用於循環蝕刻處理的方法。
第1圖是根據本揭露書的一個實施例之電漿處理腔室100的概要剖視圖。電漿處理腔室100可為電漿蝕刻腔室、電漿強化化學氣相沉積腔室、物理氣相沉積腔室、電漿處理腔室、離子佈植腔室,或其它合適的真空處理腔室。
電漿處理腔室100可由多個模組所組裝。模組化設計致使電漿處理腔室100滿足各種處理需求。如第1圖中所示,電漿處理腔室100可包括源模組102、處理模組104、流動模組106和排氣模組108。源模組102、處理模組104和流動模組106共同地包圍處理區域112。源模組102的更詳細說明可參照第2圖和於此所述的源模組而獲得。處理模組104、流動模組106和排氣模組108可被共同地視為在某些實施例中的腔室模組110。
在操作期間,基板116可被定位在基板支撐組件118上並曝露於處理環境,諸如在處理區域112中所產生的電漿。可在電漿處理腔室100中實施的示例性處理可包括蝕刻、化學氣相沉積、物理氣相沉積、佈植、電漿退火、電漿處理、除汙或其它電漿處理。真空可藉由從排氣模組108通過由流動模組106所界定的排氣通道114吸入而維持在處理區域112中。
處理區域112和排氣通道114係繞中心軸線111實質對稱的,以提供對稱的電流、氣流和熱流,以建立均勻的處理條件。
在一個實施例中,如第1圖中所示,源模組102可為經配置以產生一個或多個電漿的電容耦合電漿源,一個或多個電漿的至少一者可被認為是遠端電漿,且一個或多個電漿的一者可被認為是直接電漿。源模組102可包括板堆疊101,板堆疊101可如電極(即陽極)般運作,以隔離器122而與處理模組104隔離,並以隔離器122而藉由處理模組104所支撐。板堆疊101可包括佈置在堆疊定向上的各種噴淋頭、擴散器及篩網/阻擋板。板堆疊101可通過氣體入口管126而被連接到氣體源132。板堆疊101和氣體入口管126可全部由射頻(RF)導電材料(諸如鋁或不銹鋼)所製成。板堆疊101可經由導電氣體入口管126而耦接到RF功率源124。RF匹配網路125還可耦接到RF功率源124。導電氣體入口管126可為與電漿處理腔室100的中心軸線111同軸,使得RF功率和處理氣體被對稱地提供。
即使電容電漿源係如上所述,源模組102可為根據處理需求之任何合適的氣體/電漿源。例如,源模組102可為感應耦合電漿源、遠端電漿源,或微波電漿源。
處理模組104耦接到源模組102。處理模組104可包括包圍處理區域112的腔室本體140。腔室本體140可由對處理環境有抵抗力的導電材料(諸如鋁或不銹鋼)所製成。基板支撐組件118可以被置中地設置在腔室本體140內並經定位以對稱地繞中心軸線111支撐基板116在處理區域112中。
狹縫閥開口142可穿過腔室本體140而形成,以允許基板116通過。狹縫閥144可設置在腔室本體140外側,以選擇性地打開及關閉狹縫閥開口142。
在一個實施例中,上襯墊組件146可設置在腔室本體140的上部內,以屏蔽腔室本體140遠離處理環境。上襯墊組件146可包括對應於形成在腔室本體140中的狹縫閥開口142之開口148。在一個實施例中,上襯墊組件146可包括繞中心軸線111對稱地形成的兩或更多開口148,以補償由狹縫閥開口142所引起的腔室本體140的不對稱,從而在電漿處理腔室100內的處理區域112中產生對稱性。例如,上襯墊組件146可為具有以120度彼此分開而形成的三個相同開口148之圓柱形壁。上襯墊組件146可以由導電的、處理相容的材料(諸如鋁、不銹鋼及/或氧化釔(如,氧化釔塗佈的鋁))所構成。
在一個實施例中,冷卻通道150可在腔室本體140中形成,以提供對於腔室本體140和上襯墊組件146的溫度控制,以強化在電漿處理腔室100內的熱對稱性和提供在處理區域112中之電漿的對稱性。
流動模組106附接到處理模組104。流動模組106提供界定在處理模組104中之處理區域112和排氣模組108之間的流徑。流動模組106還提供在基板支撐組件118和在電漿處理腔室100外側之大氣環境之間的介面。
流動模組106具有高度107。高度107可根據垂直移動的數量或藉由處理需求所規定的可變容積之程度而選擇。因此,當建立用於特定處理的處理腔室時,具有合適高度的流動模組可被選擇,以滿足處理需求。當將處理腔室配置用於不同處理時,流動模組可被交換為具有不同高度的另一個流動模組。
流動模組106包括外壁160、內壁162、連接在內壁162和外壁160之間的兩或更多對徑向壁164及附接到內壁162和兩或更多對徑向壁164的底壁166。外壁160可包括形成在每一對徑向壁164之間的兩或更多個穿孔170。底座154可被密封地設置在內壁162和兩或更多對徑向壁164之上。穿孔170連接由內壁162所界定的大氣容積168和外部環境,從而容納設施連接,諸如電連接、氣體連接、冷卻流體連接。底座154可包括用於接收該基板支撐組件118的中心開口158。
流動模組106的外壁160經調整形狀以匹配處理模組104的腔室本體140。在一個實施例中,外壁160可包括凸緣,該凸緣對應於在腔室本體140上之凸緣。複數個螺栓可被用以固定凸緣,以耦接流動模組106到處理模組104。在一個實施例中,密封件152可設置在腔室本體140的凸緣和外壁160的凸緣之間,以在腔室本體140的凸緣和外壁160的凸緣之間形成真空密封。密封件152可為O形環或其它類型的密封件。在一個實施例中,RF接地墊圈172可設置在流動模組106和處理模組104之間,以提供在流動模組106和處理模組104之間用於均勻和對稱的RF接地返回路徑的堅固接觸。
內壁162、底壁166、徑向壁164和底座154將在外壁160內部的容積區分為排氣通道114與大氣容積168。排氣通道114與處理模組104的處理區域112相連。密封件156可設置在凹槽154b、164b中,以提供在排氣通道114與大氣容積168之間的真空密封。密封件156可為O形環或其它類型的密封件,諸如彈性體密封件。
外壁160和內壁162可為同心地佈置的圓柱形壁。當組裝時,外壁160和內壁的中心軸線162與電漿處理腔室100的中心軸線111重合。兩或更多對徑向壁164被佈置在內壁162和外壁160之間,以分割在內壁162和外壁160之間的空間為排氣通道114和穿孔170。在一個實施例中,兩或更多對徑向壁164被佈置,使得排氣通道114係繞中心軸線111而對稱。流動模組106可包括以120度彼此間隔的三對徑向壁164,形成對稱於中心軸線111的三個排氣通道114。排氣通道114的對稱佈置促進從處理區域112對稱地移除氣體,導致氣體對稱地流動遍佈基板116。此外,排氣通道114和徑向壁164的對稱定位促進在電漿處理腔室100中之熱分布和電導的對稱性。
排氣模組108包括對稱的流量閥180和附接到對稱的流量閥180之真空泵182。真空泵182在某些實施例中可為對稱的渦輪分子泵。對稱的流量閥180連接到排氣通道114,以在電漿處理腔室100中提供對稱和均勻的流動。
基板支撐組件118沿著中心軸線111而定位,以將基板116繞中心軸線111而對稱地定位。基板支撐組件118係藉由底座154而支撐。基板支撐組件118包括被佈置在處理區域112中之支撐板174、底板176及通過底板154之中心開口158而設置的中空軸178。波紋管184可連接於底板176和底座154之間,並圍繞中空軸178。波紋管184允許基板支撐組件118沿中心軸線111垂直地移動,並提供在流動模組106中之大氣容積168和處理模組104中之處理區域112之間的真空密封。
支撐板174可為具有夾持電極186的靜電夾盤(ESC)。夾持電極186可為單極4區的ESC、雙極4區的ESC,或高溫ESC。應設想雙極ESC可不需要在基板116的去夾持期間為了RF接地而曝露於電漿。4區的ESC可使用四個同心加熱區(亦即,加熱元件188),以在處理期間調節設置在夾持電極186上之基板的中心到邊緣之加熱輪廓,以提供改進的溫度均勻性。高溫ESC可為適合於利用高達約600℃之溫度。藉由夾持電極186所產生的溫度可為適合用於昇華被蝕刻以維持高的材料選擇性之基板層的副產物。
支撐板174還可包括加熱元件188,用於在處理期間加熱基板116。底板176可包括形成於底板176中的冷卻通道190。夾持電極186可通過中空軸178、大氣容積168和穿孔170之一者而連接到偏壓功率源187。加熱元件188可通過中空軸178、大氣容積168和穿孔170之一者而連接到加熱功率源189。冷卻通道190可通過中空軸178、大氣容積168和穿孔170之一者而連接到冷卻流體源191中。
在一個實施例中,致動器組件192可耦接到中空軸178,以垂直地移動基板支撐組件118。致動器組件192可致使基板支撐組件118在處理區域112內移動,並改變基板116的處理位置。例如,致動器組件192可定位在基板支撐組件118距板堆疊101約0.5英寸和約6英寸之間的距離處。當與傳統的電漿處理設備相比時,在板堆疊101和基板支撐組件118之間的減少的距離提供在低壓狀態(諸如低於20 mTorr,例如約1 mTorr)中之增加的電容耦合電漿(CCP)的產生視窗。因此,在RF電極(亦即,板堆疊101)和RF接地之間的間隙可藉由降低CCP的崩潰電壓而增加,以致使低壓CCP放電。在產生CCP的實施例中,基板支撐組件118還可如電極(亦即,陰極),或經由接地墊圈172的接地般運作,取決於所欲的實施。致動器組件192可被設置在大氣容積168中。舉升銷致動器194可設置在大氣容積168中,以移動舉升銷196。
電漿篩網198可被設置在處理區域112和排氣通道114之間,以限制在處理區域112內的電漿。基板支撐件襯墊199可繞基板支撐組件118而設置,以保護基板支撐組件118免於處理化學作用。
在操作期間,來自氣體源132的一或多個處理氣體可通過板堆疊101而進入處理區域112。用於在低壓狀態中利用的處理氣體包括H2 、He、Ar、O2 、NF3 、NH3 、N2 、N2 O、H2 O、SiF4 、SiH4 、SiCl4 和各種碳氟化合物的前驅物。RF功率可施加在板堆疊101和基材支撐組件118之間,以點燃並維持在處理區域112中的直接電漿(離子產生)。RF功率也可經由氣體入口管126而施加到板堆疊101,且遠端電漿(自由基產生)可在板堆疊101內產生。同步或步進的RF脈衝可被用以控制離子能量和自由基密度。零DC偏壓可被利用,且可提供用於減少可能致使減少的顆粒產生之離子轟擊。多個RF頻率(亦即,400 kHz、2 MHz、13.56 MHz、27 MHz、40 MHz、60 MHz)可被用以調節電漿密度和離子能量。定制的波形和相位角調節可被用以控制離子能量分佈。應設想RF功率源124和RF匹配網路125可經配置以低於約5W的功率操作,同時維持穩定的電漿放電。
設置在基板支撐組件118上的基板116係藉由直接電漿和遠端電漿兩者而處理。例如,在處理區域112中產生的直接電漿可藉由將材料曝露於離子而被用以修改在基板116之表面上的材料。來自板堆疊101中的遠端電漿所產生的自由基可從遠端電漿抽出,以在基板116上相對於非改質材料高選擇性地移除改質材料。因此,可達成高選擇性的材料移除處理,它提供用於改良的頂部平坦化,並減少或消除經蝕刻特徵的基腳及曲折。移除處理可為循環處理,其中離子的修改和自由基移除處理以連續的處理而重複,直到達成所欲的特徵輪廓。
也可實施原位腔室調節處理,以減少顆粒的產生和金屬污染性能,並改善處理的穩定性。矽源化學物(諸如SiF4 、SiH4 與SiCl4 )可在CCP或感應耦合電漿下分離的氧反應,以在腔室表面上形成氧化矽層。腔室調節處理可提供在上述的循環蝕刻處理中改良的穩定性。
用以形成電漿的一或多個處理氣體可連續地供應至處理區域112或板堆疊101,且真空泵182通過對稱流量閥180和流動模組106而操作,以鄰近於基板116產生對稱和均勻的電漿輪廓。藉由在單獨的模組中界定處理區域112和排氣通道114,本揭露書的實施例以簡化的腔室結構提供均勻和對稱的處理環境,從而,降低製造成本和達成具有高度材料選擇性的無電漿損壞蝕刻處理。
第2圖以剖視圖的方式,概要地顯示根據一實施例之源模組102的細節圖。源模組102為在第1圖中所示的電漿源模組102之一個例子,且應設想各種其它的電漿源模組設計可被有利地實施。源模組102包括電漿源210和也可能產生電漿之處理區域112,如下面所討論的。在第2圖的定向中,氣體及/或電漿產物流動的一般方向為向下,且這個方向可於此被稱為「下游」,而在第2圖中之定向向上的相對方向,可被稱為「上游」。此外,在第2圖中所示的設備的顯著部分可為繞中心軸線201而圓柱形對稱的,具有被定義為徑向方向207和方位角方向203之相關聯方向。這種方向的慣例可於此使用,雖然本領域的技術人員將理解許多於此所述的原理不限於圓柱形對稱的系統。
如第2圖中所示,電漿源210可通過RF電極215而引入氣體及/或藉由上游遠端電漿源而離子化的氣體作為電漿源氣體。氣體歧管202可耦接到及/或設置鄰近於RF電極215。處理氣體可從氣體源132被提供到氣體歧管202。來自氣體源312的處理氣體可通過饋通構件208而進入氣體歧管202。在一個實施例中,饋通構件208可由聚合材料(諸如聚四氟乙烯)所形成。流量集中插入件204可配置在氣體入口管126內,鄰近氣體歧管202。流量集中插入件204可為具有開口206形成於中之類環形的設備。開口206可通過插入件204的中心而形成,且開口206可為單一孔,或可為多個孔。在單一孔的實施例中,開口206的直徑可為約0.125英寸。流量集中插入件204可改善在電漿源模組102之板堆疊101內的處理氣體的同心的流動分佈。
RF電極215可電耦合到用以重新定向源氣體的流動之第一氣體擴散器220和面板225,使得氣體流動是均勻地遍布電漿源210(在第2圖的視野中從左到右係均勻的)。應注意於此所有的擴散器或篩網可具有電極的特徵,因為任何這樣的擴散器或篩網可被連接到特定的電位。絕緣器230將RF電極215(包括面板225)與保持為電接地的第二擴散器235電絕緣。第二擴散器235用作與RF電極215的面板225相對面的第二電極。
面板225、第二擴散器235和絕緣器230的表面界定第一電漿生成凹穴,其中當電漿源氣體存在且通過RF電極215在面板225處提供RF能量時,可生成第一電漿245(亦即遠端電漿)。RF電極215、面板225及第二擴散器235可由任何的導體所形成,且在實施例中係由鋁(或鋁合金,諸如已知的「6061」合金類型)所形成。
直接面對第一電漿245之面板225和第二擴散器235之表面可塗佈有(例如) 氧化釔(Y2 O3 )或氧化鋁(Al2 O3 )的陶瓷層,用以抵抗在電漿245中所產生的高能電漿產物之轟擊。陶瓷塗層可藉由電子束塗佈處理、陽極氧化處理及/或無孔的陽極氧化處理而形成。其它合適的塗層包括鍍鎳塗層和表面氧化處理(例如,藉由曝露於濃HNO3 溶液)。不一定直接曝露於電漿但曝露於反應性氣體及/或由電漿所產生的自由基之面板225和第二擴散器235的其它表面可被塗佈有陶瓷層(如,氧化釔、氧化鋁)或合適的鈍化層(例如,陽極氧化層,或化學產生的氧化鋁層),用於抵抗化學作用。絕緣器230可為任何的絕緣器,且在某些實施例中,係由陶瓷材料所形成。
在第一電漿245中所產生的電漿產物通過再次幫助促進電漿產物的均勻分佈之第二擴散器235,且可幫助電子溫度控制。一旦通過第二擴散器235,電漿產物通過促進均勻性的氣體分配裝置260。氣體分配裝置260也保持為電接地。完全通過氣體分配裝置260的孔具有為在第二擴散器235內之孔的直徑至少三倍的直徑。此外,氣體分配裝置260包括進一步的氣體通道250,進一步的氣體通道250可用以當一或多個進一步的氣體155(2)進入處理區域112中時,將一或多個進一步的氣體155(2)引入電漿產物(即,氣體155(2)僅從遠離第二擴散器235之氣體分配裝置260的側面出現)。氣體分配裝置260也可以由鋁或鋁合金所製成,且就像以上所討論的面板225和第二擴散器235,可至少塗佈有鈍化層,用於抵抗化學作用,或可塗佈有陶瓷層。
加熱元件262可設置在電漿源模組102的板堆疊101中。加熱元件262可為螺旋形的加熱器,諸如電阻加熱器或類似者。加熱元件262可如所示地配置在形成於氣體分配裝置260中的凹槽中,或可配置在形成於第二擴散器235中的凹槽中。替代地,加熱元件262可設置在面對電漿阻擋篩網270之在氣體分配裝置260中所形成的凹槽中。在另一個實施例中,加熱元件262可設置在面對氣體分配裝置260之在電漿阻擋篩網270中所形成的凹槽中。加熱元件262可經配置以改善遍佈板堆疊101的對稱溫度分佈,及促進第一電漿245及/或電漿產物(亦即,自由基)的維護。
來自第一電漿245的氣體155(1)、155(2)及/或電漿產物進入氣室凹穴265,接著通過電漿阻擋篩網270到處理區域112。電漿阻擋篩網270(也作為SPI噴淋頭而習知)可具有的厚度在約0.01英寸和大約1.0英寸之間的範圍中,且經配置以允許來自上游來源的氣體和電漿產物通過到處理區域112中的許多小孔可形成於電漿阻擋篩網270中。電漿阻擋篩網270的孔一般為高深寬比的孔,且孔的孔直徑可為在約0.01英寸和約0.25英寸之間。電漿阻擋篩網270實質地阻擋來自上游部件的下游電漿和電漿產物,如在以下詳細討論者。在實施例中,電漿阻擋篩網270可有利地形成每平方英寸至少十個孔在電漿阻擋篩網270的中心區域中,且在某些實施例中可形成每平方英寸三十或更多個孔。
如同氣體分配裝置260,電漿阻擋篩網270也被保持為電接地。如以上所討論的面板225和第二擴散器235,直接曝露於電漿之電漿阻擋篩網270的表面有利地塗佈有陶瓷(如,氧化鋁或氧化釔),而沒有直接曝露於電漿的表面也可塗佈有陶瓷,且有利地至少塗佈有鈍化層,用於抵抗對於反應氣體和活化物種的化學作用。在一個實施例中,含有矽材料的可分離塗層可設置在電漿阻擋篩網270上,以減少缺陷,且若塗層變得受損或以降低的效率操作時,能高效率地更換塗層。
所有的氣體及/或電漿產物(如上所述而產生者)在處理區域112內與基板116相互作用,且第二電漿275(亦即,直接電漿)可在處理區域112內產生。當期望在處理區域112內有電漿時,因為第二擴散器235保持為電接地,用以生成第二電漿275的RF功率被施加到基板支撐組件118。DC偏壓也可被施加至基板支撐組件118,以引導在第二電漿275中所產生的離子,以促進基板116的定向(各向異性)蝕刻。在一個實施例中,0 DC偏壓也可被使用,因為若未從偏壓的施加而受益的各種其他處理參數被採用,偏壓可能是不必要。基板支撐組件118可與RF及/或DC偏壓源可切換地連接,以在選定的時間在處理區域112內產生電漿,且在其他時間不產生電漿。基板支撐組件118可與用以在面板225與第二擴散器235之間生成的第一電漿245相同的RF功率供應器(功率供應器124)相連,或可以不同的RF功率供應器(未圖示)相連。
電漿阻擋篩網270的使用,選擇是否藉由提供RF功率及/或DC偏壓到基板保持器135而產生電漿或不產生這樣的電漿的能力,及於此所述的其它特徵,提供當應用電漿源模組102時的應用靈活性。例如,在第一時間,電漿源組件102(實施於腔室100上並與腔室100合作)可在電漿不在處理區域112內產生的模式中操作。在第一時間,藉由該電漿源模組102的上游部分所提供的氣體及/或電漿產物可提供各向同性蝕刻,且基板支撐組件118可保持為DC接地(儘管DC偏置可跨越基板支撐組件118的空間部分而提供,以提供基板116的靜電夾持)。在第二時間,電漿源模組102可在電漿係在處理區域112內產生的模式中操作,且電漿的電漿產物可藉由在電漿阻擋篩網270和基板支撐組件118之間的DC偏壓而引導。在第二時間,由DC偏壓所引導的電漿產物可提供各向異性蝕刻,(例如)以移除基板116上之廣泛的表面沉積物,同時留下側壁,或清除在基板116中之深溝槽內的材料。雖然以上的實施方式包括偏壓,應注意於此所述的實施例可在某些實施例中利用無DC偏壓。電漿阻擋篩網270的特徵係在第2圖中以A所註釋的部分之放大圖中更詳細地顯示,其在第3圖中更詳細地顯示。
第3圖概要地顯示在第2圖中所註釋的區域A。如在第2圖中,基板116被顯示為在處理區域112內的基板支撐組件118上。氣體155及/或先前所形成的電漿產物通過電漿阻擋篩網270流入處理區域112中,於處理區域112中形成第二電漿275。如上所述,電漿阻擋篩網270保持為電接地。RF能量(和任選的DC偏壓)被施加到基板支撐組件,以提供能量給第二電漿275。由於在處理區域112內之反應性物種和離子轟擊源兩者的存在,處理區域112的內表面設置有可抵抗來自這些來源的攻擊之材料(通常,但不限於,陶瓷)。材料也經選擇以管理電場分佈(以DC意義和AC意義兩者),以最大化傳輸入第二電漿275中的RF功率。
例如,基板支撐組件118可塗佈有氧化鋁或氮化鋁,且電漿阻擋篩網270可塗佈有氧化鋁或氧化釔。任選的陶瓷間隔件350及/或任選的陶瓷泵襯墊370可被用以在基板支撐組件118的邊緣處減少橫向電場。陶瓷間隔件350和陶瓷泵襯墊370為環形的,使得它們繞處理區域112的周邊,但不跨越處理區域112的中心區域而延伸,且有利地由低損耗的正切材料(諸如高純度的氧化鋁、氮化矽及/或碳化矽) 所製成。具有在0.1至0.0001的範圍內之損耗正切的材料提供有用的結果,而具有在0.005至0.001的範圍內之損耗正切的材料代表以合理成本之高性能範圍。
電漿阻擋篩網270和陶瓷間隔件350兩者的一部分可設置在接地舉升板390的一部分之頂上(如圖所示),且從中獲得機械支撐。舉升板390可與電漿阻擋篩網270、陶瓷間隔件350和其他覆蓋結構機械地連接,以能夠舉升用於組裝及/或維護目的之來自基板支撐組件118的附近之所有這樣的結構。電漿阻擋篩網270通過與舉升板390接觸而電接地。陶瓷間隔件350的厚度經控制以留下在電漿阻擋篩網270和陶瓷間隔件350之間的間隙360,以確保陶瓷間隔件350不在方位角方向上中斷電漿阻擋篩網270與舉升板390繞處理區域112的周邊之連續接觸。
形成低損耗正切的介電材料之陶瓷間隔件350和陶瓷泵襯墊370是比較昂貴的(與(例如)由具有陶瓷塗層的鋁製造這樣的項目相比),但降低了在基板支撐組件118之邊緣的電場效應,並減少當第二電漿275在處理區域112內產生時的反射RF功率。與在相同的位置使用等效的鋁零件相比,替換為陶瓷間隔件350和陶瓷泵襯墊370還減少離子轟擊相關的污染。陶瓷間隔件350和陶瓷泵襯墊370的使用從而促進電漿和處理穩定性,並減少污染。
在基板支撐組件118/基板116和電漿阻擋篩網270之間的電場在方向上係強而均勻的是有利的,因為電場引導與各向異性蝕刻相關的離子。也就是說,為清除在垂直溝槽的底部處之材料,引導離子的電場經配置以為相應地垂直的。較弱的電場存在於基板支撐組件118和接地舉升板390之間,通過陶瓷間隔件350和陶瓷泵襯墊370。這些電場係藉由被插入在基板支撐組件118和舉升板390之間的陶瓷間隔件350和陶瓷泵襯墊370的介電材料而弱化。弱化在基板支撐組件118的邊緣處的側向電場具有兩有優點;(1)電場的方向性(且從而蝕刻的方向性)未保持於基板116的邊緣,及(2)與較高的電場相比,較弱的電場產生較低的濺射損害。
第4圖概要地顯示根據於此所述的實施例之處理腔室100。腔室100包括電漿源模組102和腔室模組110。電漿源模組102可為如關於第2圖所描述的自由基電漿源。在一個實施例中,第一電漿245可在腔室100的電漿源模組102中產生。腔室100還包括可為直接電漿源的腔室模組110,除其他部件外,關於第1圖而說明。在一個實施例中,第二電漿275可在腔室模組110的處理模組104中產生。應設想來自第一電漿245,在電漿源模組102中所產生的自由基可被輸送到腔室模組110,且用以與由在腔室模組110中所產生的第二電漿275所產生離子結合。如圖所示,基板116可位於腔室模組110中,且可實施利用第一電漿自由基和第二電漿之一者或兩者的循環蝕刻處理。
藉由於此所述的設備而獲得的方法包括循環蝕刻處理。在一個實施例中,包含離子的直接電漿(亦即,第二電漿275)可在第一操作中用以改質在基板上的一或多個材料層。在第二操作中,經改質的材料層可藉由由遠端電漿(亦即,第一電漿245)所產生的自由基而移除。第一和第二操作可重複一或多次,直到達成所欲的蝕刻輪廓。
雖然前面部分是針對本揭露書的實施例,本揭露書的其他和進一步的實施例可經設計而不背離本揭露書的基本範圍,且本揭露書的範圍是藉由隨後的申請專利範圍而決定。
100:腔室 101:板堆疊 102:源模組 104:處理模組 106:流動模組 107:高度 108:排氣模組 110:腔室模組 111:中心軸線 112:處理區域 114:排氣通道 116:基板 118:基板支撐組件 122:隔離器 124:RF功率源/功率供應器 125:RF匹配網路 126:氣體入口管 132:氣體源 135:基板保持器 140:腔室本體 142:狹縫閥開口 144:狹縫閥 146:上襯墊組件 148:開口 150:冷卻通道 152:密封件 154:底座 154b:溝槽 155:氣體 156:密封件 158:中心開口 160:外壁 162:內壁 164:徑向壁 164b:溝槽 166:底壁 168:容積 170:孔 172:RF接地墊圈 174:支撐板 176:底板 178:中空軸 180:對稱流量閥 182:真空泵 184:波紋管 186:夾持電極 187:偏壓源 188:加熱元件 189:加熱功率源 190:冷卻通道 191:冷卻流體源 192:致動器組件 194:舉升銷致動器 196:舉升銷 198:電漿篩網 199:基板支撐件襯墊 201:中心軸線 202:氣體歧管 203:方位角方向 204:插入件 206:開口 207:徑向方向 208:饋通構件 210:電漿源 215:RF電極 220:第一氣體擴散器 225:面板 230:絕緣器 235:第二擴散器 245:第一電漿 250:氣體通道 260:氣體分配裝置 262:加熱元件 265:氣室凹穴 270:電漿阻擋篩網 275:第二電漿 312:氣體源 350:陶瓷間隔件 360:間隙 370:陶瓷泵襯墊 390:舉升板
因此,本揭露書之以上所載的特徵可被詳細理解之方式,可藉由參照實施例(一些實施例係顯示於附隨的圖式中)而獲得前面所簡單地摘要的本揭露書之較特定的說明。然而,應理解附隨的圖式僅描繪示例性的實施例,且不因此被視為限制本揭露書之範圍,因為本揭露書可允許其他等效的實施例。
第1圖是根據本揭露書的一個實施例之電漿處理腔室的概要剖視圖。
第2圖概要地以剖視圖的方式顯示根據本揭露書的一個實施例之電漿處理系統的主要部分。
第3圖概要地顯示根據於此所述的實施例之在第2圖中所示的電漿處理的放大部分。
第4圖概要地顯示根據於此所述的實施例之處理腔室。
為幫助理解,盡可能地使用相同的元件符號以指定共用於圖式的相同元件。應理解一個實施例的元件和特徵可被有利地併入於其它實施例中,無須進一步載明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
101:板堆疊
102:源模組
112:處理區域
116:基板
118:基板支撐組件
126:氣體入口管
132:氣體源
155:氣體
201:中心軸線
202:氣體歧管
203:方位角方向
204:插入件
206:開口
207:徑向方向
208:饋通構件
210:電漿源
215:RF電極
220:第一氣體擴散器
225:面板
230:絕緣器
235:第二擴散器
245:第一電漿
250:氣體通道
260:氣體分配裝置
262:加熱元件
265:氣室凹穴
270:電漿阻擋篩網
275:第二電漿

Claims (20)

  1. 一種用於一處理腔室本體中的處理腔室部件,橫跨該處理腔室本體的一處理區域面對一靜電夾盤,該處理腔室部件包含:一板堆疊,包含:一第一氣體擴散器;一面板;一第二擴散器;一第一電漿生成凹穴,配置在該面板與該第二擴散器之間;一氣體分配裝置,在該第二擴散器下游,該氣體分配裝置包括多個孔與多個氣體通道,該些孔完全通過該氣體分配裝置,而該些氣體通道引導一個或多個額外氣體;一電漿阻擋篩網;一舉升板;一陶瓷間隔件,設置於鄰近該電漿阻擋篩網,該陶瓷間隔件設置於該舉升板的一部分上。
  2. 如請求項1所述之處理腔室部件,進一步包括一氣室凹穴,該氣室凹穴形成在氣體分配裝置與該電漿阻擋篩網之間。
  3. 如請求項1所述之處理腔室部件,其中該 陶瓷間隔件的一厚度在該電漿阻擋篩網與該陶瓷間隔件之間留下一間隙。
  4. 如請求項1所述之處理腔室部件,其中該陶瓷間隔件由一低損耗正切介電材料所形成。
  5. 如請求項4所述之處理腔室部件,其中該低損耗正切介電材料為氧化鋁、氮化矽或碳化矽的一者或組合。
  6. 如請求項4所述之處理腔室部件,其中該低損耗正切介電材料具有一在0.1至0.0001的範圍內之損耗正切。
  7. 如請求項1所述之處理腔室部件,進一步包含一陶瓷泵襯墊,該陶瓷泵襯墊設以沿著該處理區域的一周邊配置。
  8. 如請求項1所述之處理腔室部件,進一步包含一隔離器,該隔離器配置於該面板與該第二擴散器之間,且電性絕緣該面板與該第二擴散器。
  9. 如請求項1所述之處理腔室部件,其中該電漿阻擋篩網經配置以橫跨該處理區域面對一包括該靜電夾盤的基板支撐組件。
  10. 一種處理腔室,包含:一腔室主體,界定一處理區域;一包含一靜電夾盤的基板支撐組件,配置於該處理 區域內;一板堆疊,配置在該基板支撐組件上,該板堆疊包含:一射頻(RF)電極;一氣體注射管,形成通過該射頻電極;一第一氣體擴散器,電耦接至該射頻電極;一面板;一第二擴散器;一第一電漿生成凹穴,配置在該面板與該第二擴散器之間;一氣體分配裝置,在該第二擴散器下游,該氣體分配裝置包括多個孔與多個氣體通道,該些孔完全通過該氣體分配裝置,而該些氣體通道引導一個或多個額外氣體;一電漿阻擋篩網;一舉升板;一陶瓷間隔件,設置於鄰近該電漿阻擋篩網,該陶瓷間隔件設置於該舉升板的一部分上。
  11. 如請求項10所述之處理腔室,進一步包含一氣體歧管與一氣體源,該氣體歧管設置於鄰近該射頻電極,而該氣體源流體耦接至該氣體歧管。
  12. 如請求項11所述之處理腔室,進一步包 含一流量集中插入件,該流量集中插入件設置於該氣體注射管中,其中該流量集中插入件進一步包含一環,該環具有一形成通過該環的單一孔。
  13. 如請求項10所述之處理腔室,其中該第二擴散器為一電極,且具有通過該第二擴散器的複數個孔。
  14. 如請求項13所述之處理腔室,其中通過該氣體分配裝置的該些孔的一直徑為通過該第二擴散器的該些孔的一直徑的至少三倍。
  15. 如請求項10所述之處理腔室,其中通過該氣體分配裝置的該些氣體通道僅在該氣體分配裝置遠離該第二擴散器的一側出現。
  16. 如請求項10所述之處理腔室,其中該陶瓷間隔件由一低損耗正切介電材料所形成。
  17. 如請求項10所述之處理腔室,進一步包含一陶瓷泵襯墊,該陶瓷泵襯墊沿著該處理區域的一周邊配置。
  18. 如請求項10所述之處理腔室,進一步包含一隔離器,該隔離器配置於該面板與該第二擴散器之間,且電性絕緣該面板與該第二擴散器。
  19. 一種處理腔室,包含:一腔室本體,界定一處理區域; 一包含一靜電夾盤的基板支撐組件,配置在該處理區域內;一板堆疊,配置於該基板支撐組件上方,該板堆疊包含:一射頻(RF)電極;一氣體注射管,形成通過該射頻電極;一第一氣體擴散器,電耦接至該射頻電極;一面板;一第二擴散器;一隔離器,配置於該面板與該第二擴散器之間,且電性絕緣該面板與該第二擴散器;一第一電漿生成凹穴,配置在該面板與該第二擴散器之間;一氣體分配裝置,在該第二擴散器下游,該氣體分配裝置包括多個孔與多個氣體通道,該些孔完全通過該氣體分配裝置,而該些氣體通道引導一個或多個額外氣體;一電漿阻擋篩網;一舉升板;一陶瓷間隔件,由一低損耗正切介電材料所形成且設置於鄰近該電漿阻擋篩網,該陶瓷間隔件設置於該舉升板的一部分上;及 一陶瓷泵襯墊,由該低損耗正切介電材料所形成。
  20. 如請求項19所述之處理腔室,其中通過該氣體分配裝置的該些氣體通道僅在該氣體分配裝置遠離該第二擴散器的一側出現。
TW109126612A 2015-09-04 2016-08-16 用於循環與選擇性材料移除與蝕刻的處理腔室 TWI751637B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562214902P 2015-09-04 2015-09-04
US62/214,902 2015-09-04
US14/994,425 2016-01-13
US14/994,425 US11004661B2 (en) 2015-09-04 2016-01-13 Process chamber for cyclic and selective material removal and etching

Publications (2)

Publication Number Publication Date
TW202116116A TW202116116A (zh) 2021-04-16
TWI751637B true TWI751637B (zh) 2022-01-01

Family

ID=58188937

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105126062A TWI704845B (zh) 2015-09-04 2016-08-16 用於循環與選擇性材料移除與蝕刻的處理腔室
TW109126612A TWI751637B (zh) 2015-09-04 2016-08-16 用於循環與選擇性材料移除與蝕刻的處理腔室
TW110143903A TW202211733A (zh) 2015-09-04 2016-08-16 用於循環與選擇性材料移除與蝕刻的處理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105126062A TWI704845B (zh) 2015-09-04 2016-08-16 用於循環與選擇性材料移除與蝕刻的處理腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110143903A TW202211733A (zh) 2015-09-04 2016-08-16 用於循環與選擇性材料移除與蝕刻的處理腔室

Country Status (6)

Country Link
US (2) US11004661B2 (zh)
JP (3) JP6854768B2 (zh)
KR (2) KR102600919B1 (zh)
CN (1) CN107408486B (zh)
TW (3) TWI704845B (zh)
WO (1) WO2017039920A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
KR20180094109A (ko) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
KR101945378B1 (ko) 2017-06-27 2019-02-07 주식회사 포스코 합금 코팅 강판 및 이의 제조방법
JP7461926B2 (ja) * 2018-07-31 2024-04-04 アプライド マテリアルズ インコーポレイテッド 3d nandのためのon積層体オーバレイの改善
JP7240958B2 (ja) 2018-09-06 2023-03-16 東京エレクトロン株式会社 プラズマ処理装置
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
US11199267B2 (en) 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
US11856706B2 (en) * 2019-12-03 2023-12-26 Applied Materials, Inc. Method and system for improving the operation of semiconductor processing
JP7378317B2 (ja) * 2020-02-26 2023-11-13 東京エレクトロン株式会社 プラズマ処理装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140227881A1 (en) * 2013-02-08 2014-08-14 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TW201442071A (zh) * 2012-12-21 2014-11-01 Novellus Systems Inc 用於遠距離電漿原子層沉積之自由基來源設計
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150017810A1 (en) * 2013-07-11 2015-01-15 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
TW201532139A (zh) * 2014-01-10 2015-08-16 Applied Materials Inc 使用遠端電漿源以凹陷超低k介電質

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02120833U (zh) * 1989-03-17 1990-09-28
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US20020129902A1 (en) 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100658356B1 (ko) 2005-07-01 2006-12-15 엘지전자 주식회사 플라즈마 디스플레이 패널의 구동장치 및 그 구동방법
US20070193575A1 (en) 2006-02-21 2007-08-23 Horng-Yi Jan Container having a heat concentration assembly securely formed on a bottom of the container
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
KR100690961B1 (ko) 2006-06-30 2007-03-09 삼성전자주식회사 이동통신단말기의 문자 입력 방법 및 그 장치
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP4418027B2 (ja) * 2007-03-28 2010-02-17 キヤノンアネルバ株式会社 真空処理装置
AT507069B1 (de) 2008-12-23 2010-02-15 Siemens Vai Metals Tech Gmbh Verfahren und vorrichtung zur kontrolle von vibrationen eines metallurgischen gefässes
US8282042B2 (en) 2009-06-22 2012-10-09 The Boeing Company Skin panel joint for improved airflow
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130007307A (ko) 2011-06-30 2013-01-18 삼성디스플레이 주식회사 유기 발광 표시 장치
TW201325326A (zh) 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US9786471B2 (en) 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
KR20130098707A (ko) * 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
WO2015023435A1 (en) 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
USD948658S1 (en) 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201442071A (zh) * 2012-12-21 2014-11-01 Novellus Systems Inc 用於遠距離電漿原子層沉積之自由基來源設計
US20140227881A1 (en) * 2013-02-08 2014-08-14 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US20150017810A1 (en) * 2013-07-11 2015-01-15 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
TW201532139A (zh) * 2014-01-10 2015-08-16 Applied Materials Inc 使用遠端電漿源以凹陷超低k介電質

Also Published As

Publication number Publication date
JP2023027054A (ja) 2023-03-01
US11004661B2 (en) 2021-05-11
JP2021108378A (ja) 2021-07-29
JP2018533192A (ja) 2018-11-08
JP7175339B2 (ja) 2022-11-18
KR20220138422A (ko) 2022-10-12
KR20180038412A (ko) 2018-04-16
TW202211733A (zh) 2022-03-16
KR102600919B1 (ko) 2023-11-13
TW201722212A (zh) 2017-06-16
CN107408486A (zh) 2017-11-28
US11728139B2 (en) 2023-08-15
JP6854768B2 (ja) 2021-04-07
CN107408486B (zh) 2020-07-03
TWI704845B (zh) 2020-09-11
WO2017039920A1 (en) 2017-03-09
JP7425160B2 (ja) 2024-01-30
US20210217591A1 (en) 2021-07-15
KR102451502B1 (ko) 2022-10-06
US20170069466A1 (en) 2017-03-09
TW202116116A (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
TWI751637B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
TWI801409B (zh) 用於將電壓耦接到基板的部份的系統
KR102098698B1 (ko) 플라즈마 처리 장치
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
US11049755B2 (en) Semiconductor substrate supports with embedded RF shield
US10546729B2 (en) Dual-channel showerhead with improved profile
CN107481962B (zh) 具有可拆卸高电阻率气体分配板的喷淋头
JP2018082149A (ja) 酸素適合性プラズマ源
US9171702B2 (en) Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
TWI448215B (zh) 電漿處理裝置
TWI675132B (zh) 用以滿足具有改良之流動不均勻性/氣體傳導性之可變處理容積的對稱腔室本體設計架構
KR20050008066A (ko) 반도체 소자 제조용 플라즈마 반응기