CN107408486A - 用于循环与选择性材料移除与蚀刻的处理腔室 - Google Patents

用于循环与选择性材料移除与蚀刻的处理腔室 Download PDF

Info

Publication number
CN107408486A
CN107408486A CN201680013408.XA CN201680013408A CN107408486A CN 107408486 A CN107408486 A CN 107408486A CN 201680013408 A CN201680013408 A CN 201680013408A CN 107408486 A CN107408486 A CN 107408486A
Authority
CN
China
Prior art keywords
plasma
gas
substrate
module
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680013408.XA
Other languages
English (en)
Other versions
CN107408486B (zh
Inventor
T·Q·特兰
S·朴
J·金
D·卢博米尔斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107408486A publication Critical patent/CN107408486A/zh
Application granted granted Critical
Publication of CN107408486B publication Critical patent/CN107408486B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

于此描述一种用于基板蚀刻的方法和设备。于此所述的处理腔室包括:源模块、处理模块、流动模块和排气模块。RF源可耦接至腔室,且远程等离子体可在源模块中产生且直接等离子体可在处理模块中产生。所描述的循环蚀刻处理可使用交替的自由基和直接等离子体以蚀刻基板。

Description

用于循环与选择性材料移除与蚀刻的处理腔室
技术领域
本公开的实施例关于用于处理半导体基板的设备和方法。更具体地,本公开的实施例关于具有经配置以实施循环蚀刻处理的自由基等离子体源和直接等离子体源的处理腔室。
背景技术
电子装置(诸如平板显示器和集成电路)通常通过层被沉积在基板上且经沉积的材料被蚀刻成所需的图案的一系列的处理而制造。处理通常包括物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)和其他等离子体处理。具体地,等离子体处理包括供应处理气体混合物到真空腔室,并施加径向频率功率(RF功率),以将处理气体激发成等离子体状态。等离子体将气体混合物分解成实施所需的沉积或蚀刻处理的离子物种。
在等离子体处理期间所遭遇的一个问题是与在处理期间在基板之上建立均匀的等离子体密度有关的困难,此导致在基板的中心区域和边缘区域之间的不均匀处理。对于建立均匀等离子体密度的困难可通过在自然电流、气体流和热分布中的歪斜而被助长,自然电流、气体流和热分布中的歪斜是由于物理处理腔室设计的不对称而导致。这样的歪斜不仅导致不均匀的等离子体密度,也使得难以使用其他的处理变量以控制等离子体的均匀性。因此,多个处理腔室可能是必要的,以满足各种的处理操作。
另外,在一些基板处理系统中,等离子体是产生在一或多个基板被处理的相同位置中;在其他例子中,等离子体是产生在一个位置中,并移动到(多个)基板被处理的另一个位置。所产生的等离子体经常含有高能量的和/或高腐蚀性的物种和/或高能量的电子,使得产生等离子体的设备有时由于与高能量的物种和/或电子接触而降级。例如,暴露于高能量的物种和/或电子的材料可被蚀刻和/或溅射,产生可绕腔室部件的各种表面移动、且可与腔室部件的各种表面反应或沉积在腔室部件的各种表面上的经蚀刻和/或溅射的材料,从而损坏或需要增加的腔室的维护周期。
现有的干式蚀刻室被实施用于以高离子能量用于高深宽比结构蚀刻的各向异性蚀刻。然而,由于高离子能量的轰击,基板可通过等离子体而损坏,这导致装置电流的泄漏。另外,副产品的再沉积男子各向异性蚀刻的困难。
当技术节点进步时,对于越来越小的和选择性的蚀刻能力的需求是极为重要的。因此,存在有对于可致使可被实施用于先进的技术节点的可变的处理容积、改善的流导和改善的处理均匀性的处理腔室的需求。此外,存在有用于提供改善的材料选择性的蚀刻方法的需求。
发明内容
在一个实施例中,提供有一种处理腔室设备。设备包括:腔室本体,限定处理区域且经配置以在处理区域中产生直接等离子体,且包含静电夹盘的基板支撑组件可被设置于处理区域内。包括板堆栈的源模块可耦接至腔室本体,且板堆栈可进一步限定处理区域并经配置以在源模块中产生远程等离子体。流动模块可耦接至腔室本体,并且包含对称的流量阀和对称的涡轮分子盛况的排气模块可耦接到流动模块。腔室本体、源模块、流动模块和排气模块可经配置以对称地处理基板。
在另一个实施例中,提供有一种处理腔室设备。设备包括:腔室本体,限定处理区域,且包括静电夹盘的基板支撑组件可被设置在处理区域内。包括板堆栈的源模块也可被耦接至腔室本体。板堆栈可包括第一扩散器、面板、陶瓷环、第二扩散器、气体分配装置和等离子体阻挡筛网。流动模块可耦接至腔室本体,且排气模块可耦接到流动模块。
在又一个实施例中,提供有一种处理基板的方法。方法包括以下步骤:在处理腔室的处理区域中产生直接等离子体,及将设置在处理区域中的基板支撑组件上的基板暴露于由直接等离子体所产生的离子,以改性基板的层。远程等离子体可被产生于耦接至处理腔室的源模块中,且基板的经改性层可被暴露于由远程等离子体所产生的自由基,以从基板移除经改性的层。还可重复将基板暴露于离子和将基板暴露于自由基。
附图说明
因此,本公开的以上所载的特征可被详细理解的方式,可通过参照实施例(一些实施例显示于附随的附图中)而获得前面所简单地摘要的本公开的更具体的说明。然而,应理解附随的附图仅描绘示例性的实施例,且因此不被视为限制本公开的范围,可允许其他等效的实施例。
图1是根据本公开的一个实施例的等离子体处理腔室的示意性剖视图。
图2示意性地以剖视图的方式显示根据本公开的一个实施例的等离子体处理系统的主要部分。
图3示意性地显示根据于此所述的实施例的在图2中所示的等离子体处理的放大部分。
图4示意性地显示根据于此所述的实施例之处理腔室。
为帮助理解,尽可能地使用相同的组件符号以指定共享于附图的相同组件。应理解一个实施例的组件和特征可被有利地并入于其他实施例中,无须进一步载明。
具体实施方式
本公开大体关于具有远程等离子体源和直接等离子体源的腔室。远程等离子体源可经配置以产生自由基,且直接等离子体源可经配置以产生离子。另外,该腔室包括各种设备,以在等离子体处理基板的期间提供改良的对称性。此外,还公开有一种用于循环蚀刻处理的方法。
图1是根据本公开的一个实施例的等离子体处理腔室100的示意性剖视图。等离子体处理腔室100可以是等离子体蚀刻腔室、等离子体增强化学气相沉积腔室、物理气相沉积腔室、等离子体处理腔室、离子布植腔室,或其他合适的真空处理腔室。
等离子体处理腔室100可由多个模块所组装。模块化设计使得等离子体处理腔室100能够满足各种处理需求。如图1中所示,等离子体处理腔室100可包括源模块102、处理模块104、流动模块106和排气模块108。源模块102、处理模块104和流动模块106共同地包围处理区域112。源模块102的更详细说明可参照图2和于此所述的源模块而获得。在某些实施例中,处理模块104、流动模块106和排气模块108可被共同地视为腔室模块110。
在操作期间,基板116可被定位在基板支撑组件118上并暴露于处理环境,诸如在处理区域112中所产生的等离子体。可在等离子体处理腔室100中实施的示例性处理可包括蚀刻、化学气相沉积、物理气相沉积、布植、等离子体退火、等离子体处理、除污或其他等离子体处理。可经由从排气模块108通过由流动模块106限定的排气通道114吸入而在处理区域112中维持真空。
处理区域112和排气通道114是绕中心轴线111实质对称的,以提供对称的电流、气流和热流,从而建立均匀的处理条件。
在一个实施例中,如图1中所示,源模块102可以是经配置以产生一个或多个等离子体的电容耦合等离子体源,一个或多个等离子体的至少一个可被认为是远程等离子体,且一个或多个等离子体的一个可被认为是直接等离子体。源模块102可包括板堆栈101,板堆栈101可用作电极(即阳极),通过隔离器122而与处理模块104隔离,并通过隔离器122而由处理模块104所支撑。板堆栈101可包括布置在堆栈定向上的各种喷淋头、扩散器及筛网/阻挡板。板堆栈101可通过气体入口管126而被连接到气体源132。板堆栈101和气体入口管126可全部由射频(RF)导电材料(诸如铝或不锈钢)所制成。板堆栈101可经由导电气体入口管126而耦接到RF功率源124。RF匹配网络125还可耦接到RF功率源124。导电气体入口管126可以是与等离子体处理腔室100的中心轴线111同轴的,使得RF功率和处理气体二者被对称地提供。
即使上面描述了电容等离子体源,源模块102也可以是根据处理需求的任何合适的气体/等离子体源。例如,源模块102可以是感应耦合等离子体源、远程等离子体源,或微波等离子体源。
处理模块104耦接到源模块102。处理模块104可包括包围处理区域112的腔室本体140。腔室本体140可由对处理环境有抵抗力的导电材料(诸如铝或不锈钢)所制成。基板支撑组件118可以被置中地设置在腔室本体140内并经定位以对称地绕中心轴线111将基板116支撑在处理区域112中。
狭缝阀开口142可穿过腔室本体140而形成,以允许基板116通过。狭缝阀144可设置在腔室本体140外侧,以选择性地打开及关闭狭缝阀开口142。
在一个实施例中,上衬垫组件146可设置在腔室本体140的上部内,以屏蔽腔室本体140以免受处理环境影响。上衬垫组件146可包括对应于形成在腔室本体140中的狭缝阀开口142的开口148。在一个实施例中,上衬垫组件146可包括绕中心轴线111对称地形成的两或更多开口148,以补偿由狭缝阀开口142所引起的腔室本体140的不对称,从而在等离子体处理腔室100内的处理区域112中产生对称性。例如,上衬垫组件146可以是具有以120度彼此分开而形成的三个相同开口148的圆柱形壁。上衬垫组件146可以由导电的、处理兼容的材料(诸如铝、不锈钢和/或氧化钇(如,氧化钇涂布的铝))所构成。
在一个实施例中,冷却通道150可在腔室本体140中形成,以提供对于腔室本体140和上衬垫组件146的温度控制,以增强在等离子体处理腔室100内的热对称性和在处理区域112中所提供的等离子体的对称性。
流动模块106附接到处理模块104。流动模块106提供在限定在处理模块104中的处理区域112和排气模块108之间的流径。流动模块106还提供在基板支撑组件118和在等离子体处理腔室100外侧的大气环境之间的接口。
流动模块106具有高度107。高度107可根据垂直移动的量或由处理需求所规定的可变容积的程度而选择。因此,当建立用于特定处理的处理腔室时,具有合适高度的流动模块可被选择,以满足处理需求。当将处理腔室配置用于不同处理时,流动模块可被换为具有不同高度的另一个流动模块。
流动模块106包括外壁160、内壁162、连接在内壁162和外壁160之间的两或更多对径向壁164及附接到内壁162和两或更多对径向壁164的底壁166。外壁160可包括形成在每一对径向壁164之间的两或更多个通孔170。底座154可被密封地设置在内壁162和两或更多对径向壁164之上。通孔170连接由内壁162所限定的大气容积168和外部环境,从而容纳设施连接,诸如电连接、气体连接、冷却流体连接。底座154可包括用于接收该基板支撑组件118的中心开口158。
流动模块106的外壁160经调整形状以匹配处理模块104的腔室本体140。在一个实施例中,外壁160可包括凸缘,该凸缘对应于在腔室本体140上的凸缘。多个螺栓可被用以固定凸缘,以将流动模块106耦接到处理模块104。在一个实施例中,密封件152可设置在腔室本体140的凸缘和外壁160的凸缘之间,以在腔室本体140的凸缘和外壁160的凸缘之间形成真空密封。密封件152可以是O形环或其他类型的密封件。在一个实施例中,RF接地垫圈172可设置在流动模块106和处理模块104之间,以提供在流动模块106和处理模块104之间用于均匀和对称的RF接地返回路径的坚固接触。
内壁162、底壁166、径向壁164和底座154将在外壁160内部的容积分割为排气通道114与大气容积168。排气通道114与处理模块104的处理区域112相连。密封件156可设置在凹槽154b、164b中,以提供在排气通道114与大气容积168之间的真空密封。密封件156可以是O形环或其他类型的密封件,诸如弹性体密封件。
外壁160和内壁162可以是同心地布置的圆柱形壁。当组装时,外壁160和内壁162的中心轴线与等离子体处理腔室100的中心轴线111重合。两或更多对径向壁164被布置在内壁162和外壁160之间,以将在内壁162和外壁160之间的空间分割为排气通道114和通孔170。在一个实施例中,两或更多对径向壁164被布置,使得排气通道114绕中心轴线111而对称。流动模块106可包括以120度彼此间隔的三对径向壁164,形成相对于中心轴线111对称的三个排气通道114。排气通道114的对称布置促进从处理区域112对称地移除气体,导致气体对称地流动遍布基板116。此外,排气通道114和径向壁164的对称定位促进在等离子体处理腔室100中的热分布和电导的对称性。
排气模块108包括对称的流量阀180和附接到对称的流量阀180的真空泵182。真空泵182在某些实施例中可以是对称的涡轮分子泵。对称的流量阀180连接到排气通道114,以在等离子体处理腔室100中提供对称和均匀的流动。
基板支撑组件118沿着中心轴线111而定位,以将基板116绕中心轴线111而对称地定位。基板支撑组件118由底座154支撑。基板支撑组件118包括被布置在处理区域112中的支撑板174、底板176及穿过底板154的中心开口158而设置的中空轴178。波纹管184可连接于底板176和底座154之间,并围绕中空轴178。波纹管184允许基板支撑组件118沿中心轴线111垂直地移动,并提供在流动模块106中的大气容积168和处理模块104中的处理区域112之间的真空密封。
支撑板174可以是具有夹持电极186的静电夹盘(ESC)。夹持电极186可以是单极4区的ESC、双极4区的ESC,或高温ESC。应设想双极ESC可不需要在基板116的去夹持期间为了RF接地而暴露于等离子体。4区的ESC可使用四个同心加热区(即,加热元件188),以在处理期间调节设置在夹持电极186上的基板的中心到边缘的加热轮廓,以提供改进的温度均匀性。高温ESC可以适合于以高达约600℃的温度进行利用。由夹持电极186所产生的温度可以适合用于升华被蚀刻以维持高的材料选择性的基板层的副产物。
支撑板174还可包括加热元件188,用于在处理期间加热基板116。底板176可包括形成于底板176中的冷却通道190。夹持电极186可通过中空轴178、大气容积168和通孔170中的一个通孔而连接到偏压功率源187。加热元件188可通过中空轴178、大气容积168和通孔170中的一个通孔而连接到加热功率源189。冷却通道190可通过中空轴178、大气容积168和通孔170中的一个通孔而连接到冷却流体源191。
在一个实施例中,致动器组件192可耦接到中空轴178,以垂直地移动基板支撑组件118。致动器组件192可致使基板支撑组件118在处理区域112内移动,并改变基板116的处理位置。例如,致动器组件192可将基板支撑组件118定位成距板堆栈101约0.5英寸和约6英寸之间的距离处。当与传统的等离子体处理设备相比时,在板堆栈101和基板支撑组件118之间的减少的距离提供在低压状态(诸如低于20mTorr,例如约1mTorr)中的增大的电容耦合等离子体(CCP)产生窗口。因此,在RF电极(即,板堆栈101)和RF接地之间的间隙可通过降低CCP的击穿电压而增加,以实现低压CCP放电。在产生CCP的实施例中,基板支撑组件118还可用作电极(即,阴极),或经由接地垫圈172接地,取决于所需的实施。致动器组件192可被设置在大气容积168中。升降销致动器194可设置在大气容积168中,以移动升降销196。
等离子体筛网198可被设置在处理区域112和排气通道114之间,以将等离子体限制在处理区域112内。基板支撑件衬垫199可绕基板支撑组件118而设置,以屏蔽基板支撑组件118以免于处理化学作用。
在操作期间,来自气体源132的一或多种处理气体可通过板堆栈101而进入处理区域112。用于在低压状态中利用的合适处理气体包括H2、He、Ar、O2、NF3、NH3、N2、N2O、H2O、SiF4、SiH4、SiCl4和各种碳氟化合物前体。RF功率可施加在板堆栈101和基材支撑组件118之间,以点燃并维持在处理区域112中的直接等离子体(离子产生)。RF功率也可经由气体入口管126而施加到板堆栈101,且远程等离子体(自由基产生)可在板堆栈101内产生。同步或步进的RF脉冲可被用以控制离子能量和自由基密度。零DC偏压可被利用,且可提供可实现减少的颗粒产生的减少的离子轰击。多个RF频率(即,400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz)可被用以调节等离子体密度和离子能量。定制的波形和相位角调节可被用以控制离子能量分布。应设想RF功率源124和RF匹配网络125可经配置以在低至约5W的功率进行操作,同时维持稳定的等离子体放电。
设置在基板支撑组件118上的基板116是通过直接等离子体和远程等离子体两者而处理。例如,在处理区域112中产生的直接等离子体可通过将材料暴露于离子而被用以修改在基板116的表面上的材料。由板堆栈101中的远程等离子体所产生的自由基可从远程等离子体提取,以将在基板116上相对非改性材料移除具有高选择性的改性材料。因此,可达成高选择性的材料移除处理,它提供改良的顶部平坦化,并减少或消除经蚀刻特征的基脚及曲折。移除处理可以是循环处理,其中离子改性和自由基移除处理以连续的处理而重复,直到达成所需的特征轮廓。
也可实施原位腔室调节处理,以减少颗粒的产生和金属污染表现,并改善处理的稳定性。硅源化学物(诸如SiF4、SiH4与SiCl4)可与在CCP或感应耦合等离子体下分离的氧反应,以在腔室表面上形成氧化硅层。腔室调节处理可提供在上述的循环蚀刻处理中改良的稳定性。
用以形成等离子体的一或多种处理气体可连续地供应至处理区域112或板堆栈101,且真空泵182通过对称流量阀180和流动模块106而操作,以邻近于基板116产生对称和均匀的等离子体轮廓。通过在单独的模块中限定处理区域112和排气通道114,本公开的实施例以简化的腔室结构提供均匀和对称的处理环境,从而,降低制造成本和实现具有高度材料选择性的无等离子体损坏的蚀刻处理。
图2以剖视图的方式,示意性地显示根据实施例的源模块102的细节图。源模块102为在图1中所示的等离子体源模块102的一个例子,且应设想各种其他的等离子体源模块设计可被有利地实施。源模块102包括等离子体源210和也可能产生等离子体的处理区域112,如下面所讨论的。在图2的定向中,气体和/或等离子体产物流动的一般方向为向下,且这个方向可于此被称为“下游”,而在图2的定向中的向上的相对方向,可被称为“上游”。此外,在图2中所示的设备的显著部分可以是绕中心轴线201而圆柱形对称的,具有被定义为径向方向207和方位角方向203的相关联方向。这种方向的惯例可于此使用,虽然本领域的技术人员将理解许多于此所述的原理不限于圆柱形对称的系统。
如图2中所示,等离子体源210可通过RF电极215而引入气体和/或由上游远程等离子体源离子化的气体作为等离子体源气体212。气体歧管202可耦接到RF电极215和/或设置为邻近于RF电极215。处理气体可从气体源132被提供到气体歧管202。来自气体源312的处理气体可通过馈通构件208而进入气体歧管202。在一个实施例中,馈通构件208可由聚合材料(诸如聚四氟乙烯)所形成。流量集中插入件204可设置在气体入口管126内、邻近气体歧管202。流量集中插入件204可以是具有形成于其中的开口206的环状设备。开口206可穿过插入件204的中心而形成,且开口206可以是单一孔,或可以是多个孔。在单一孔的实施例中,开口206的直径可以是约0.125英寸。流量集中插入件204可改善在等离子体源模块102的板堆栈101内的处理气体的同心的流动分布。
RF电极215可电耦合到用以重新定向源气体的流动的第一气体扩散器220和面板225,使得气体流动是均匀地遍布等离子体源210(在图2的视野中从左到右是均匀的)。应注意于此所有的扩散器或筛网可被表征为电极,因为任何这样的扩散器或筛网可被关联到特定的电位。绝缘器230将RF电极215(包括面板225)与保持为电接地的第二扩散器235电绝缘。第二扩散器235用作与RF电极215的面板225相对面的第二电极。
面板225、第二扩散器235和绝缘器230的表面限定第一等离子体生成腔体,其中当等离子体源气体212存在且RF能量通过RF电极215被提供在面板225处时,可生成第一等离子体245(即远程等离子体)。RF电极215、面板225及第二扩散器235可由任何的导体所形成,且在实施例中是由铝(或铝合金,诸如已知的“6061”合金类型)所形成。
直接面对第一等离子体245的面板225和第二扩散器235的表面可涂布有(例如)氧化钇(Y2O3)或氧化铝(Al2O3)的陶瓷层,用以抵抗在等离子体245中所产生的高能等离子体产物的轰击。陶瓷涂层可通过电子束涂布处理、阳极化处理和/或无孔的阳极化处理而形成。其他合适的涂层包括镀镍涂层和表面氧化处理(例如,通过暴露于浓HNO3溶液)。不一定直接暴露于等离子体但暴露于反应性气体和/或由等离子体所产生的自由基的面板225和第二扩散器235的其他表面可被涂布有陶瓷层(如,氧化钇、氧化铝)或合适的钝化层(例如,阳极化层,或化学产生的氧化铝层),用于抵抗化学作用。绝缘器230可以是任何的绝缘器,且在某些实施例中,是由陶瓷材料所形成。
在第一等离子体245中所产生的等离子体产物通过再次帮助促进等离子体产物的均匀分布的第二扩散器235,且可帮助电子温度控制。一旦通过第二扩散器235,等离子体产物通过促进均匀性的气体分配装置260。气体分配装置260也保持为电接地。完全通过气体分配装置260的孔具有为在第二扩散器235内的孔的直径至少三倍的直径。此外,气体分配装置260包括进一步的气体通道250,进一步的气体通道250可用以在一或多种进一步的气体155(2)进入处理区域112中时,将一或多种进一步的气体155(2)引入等离子体产物(即,气体155(2)仅从气体分配装置260的远离第二扩散器235的侧面出现)。气体分配装置260也可以由铝或铝合金所制成,且就像以上所讨论的面板225和第二扩散器235,可至少涂布有钝化层,用于抵抗化学作用,或可涂布有陶瓷层。
加热元件262可设置在等离子体源模块102的板堆栈101中。加热元件262可以是螺旋形的加热器,诸如电阻加热器或类似者。加热元件262可如所示地设置在形成于气体分配装置260中的凹槽中,或可设置在形成于第二扩散器235中的凹槽中。替代地,加热元件262可设置在面对等离子体阻挡筛网270的在气体分配装置260中所形成的凹槽中。在另一个实施例中,加热元件262可设置在面对气体分配装置260的在等离子体阻挡筛网270中所形成的凹槽中。加热元件262可经配置以改善遍布板堆栈101的对称热分布,及促进第一等离子体245和/或等离子体产物(即,自由基)的维持。
来自第一等离子体245的气体155(1)、155(2)和/或等离子体产物进入气室腔体265,接着通过等离子体阻挡筛网270到处理区域112。等离子体阻挡筛网270(也称为SPI喷淋头)可具有的厚度在约0.01英寸和约1.0英寸之间的范围中,并且经配置以允许来自上游源的气体和等离子体产物通过而到处理区域112中的许多小孔可形成于等离子体阻挡筛网270中。等离子体阻挡筛网270的孔一般为高深宽比的孔,且孔的孔直径可以是在约0.01英寸和约0.25英寸之间。等离子体阻挡筛网270实质地阻挡来自上游部件的下游等离子体和等离子体产物,如在以下详细讨论的。在实施例中,等离子体阻挡筛网270可有利地在等离子体阻挡筛网270的中心区域中形成每平方英寸至少十个孔,且在某些实施例中可形成每平方英寸三十或更多个孔。
如同气体分配装置260,等离子体阻挡筛网270也被保持为电接地。如以上所讨论的面板225和第二扩散器235,等离子体阻挡筛网270的直接暴露于等离子体的表面有利地涂布有陶瓷(如,氧化铝或氧化钇),而没有直接暴露于等离子体的表面也可涂布有陶瓷,且有利地至少涂布有钝化层,用于抵抗反应性气体和活化物种的化学作用。在一个实施例中,含有硅材料的可分离涂层可设置在等离子体阻挡筛网270上,以减少缺陷,且若涂层变得受损或以降低的效率操作时,能高效率地更换涂层。
所有的气体和/或等离子体产物(如上所述而产生者)在处理区域112内与基板116相互作用,且第二等离子体275(即,直接等离子体)可在处理区域112内产生。当期望在处理区域112内有等离子体时,因为第二扩散器235保持为电接地,所以用以生成第二等离子体275的RF功率被施加到基板支撑组件118。DC偏压也可被施加至基板支撑组件118,以引导在第二等离子体275中所产生的离子,从而促进基板116的定向(各向异性)蚀刻。在一个实施例中,0DC偏压也可被使用,因为若未从偏压的施加而受益的各种其他处理参数被采用,偏压可能是不必要的。基板支撑组件118可与RF和/或DC偏压源可切换地连接,以在选定的时间在处理区域112内产生等离子体,且在其他时间不产生等离子体。基板支撑组件118可与用以在面板225与第二扩散器235之间生成的第一等离子体245的RF功率供应器相同的RF功率供应器(功率供应器124)相连,或可与不同的RF功率供应器(未图示)相连。
等离子体阻挡筛网270的使用、选择是否通过提供RF功率和/或DC偏压到基板保持器135而产生等离子体或不产生这样的等离子体的能力、及于此所述的其他特征,提供当利用等离子体源模块102时的应用灵活性。例如,在第一时间,等离子体源组件102(实施于腔室100上并与腔室100合作)可在等离子体不在处理区域112内产生的模式中操作。在第一时间,通过该等离子体源模块102的上游部分所提供的气体和/或等离子体产物可提供各向同性蚀刻,且基板支撑组件118可保持为DC接地(尽管DC偏置可跨越基板支撑组件118的空间部分而提供,以提供基板116的静电夹持)。在第二时间,等离子体源模块102可在等离子体在处理区域112内产生的模式中操作,且等离子体的等离子体产物可通过在等离子体阻挡筛网270和基板支撑组件118之间的DC偏压而引导。在第二时间,由DC偏压所引导的等离子体产物可提供各向异性蚀刻,(例如)以移除基板116上的广泛的表面沉积物同时留下侧壁,或清除在基板116中的深沟槽内的材料。虽然以上的描述包括偏压,但应注意于此所述的实施例可在某些实施例中利用无DC偏压。等离子体阻挡筛网270的特征在图2中以A所注释的部分的放大图中更详细地显示,在图3中详细地显示。
图3示意性地显示在图2中所注释的区域A。如在图2中,基板116被显示为在处理区域112内的基板支撑组件118上。气体155和/或先前所形成的等离子体产物通过等离子体阻挡筛网270流入处理区域112中,于处理区域112中形成第二等离子体275。如上所述,等离子体阻挡筛网270保持为电接地。RF能量(和任选的DC偏压)被施加到基板支撑组件,以提供能量给第二等离子体275。由于在处理区域112内的反应性物种和离子轰击源两者的存在,处理区域112的内表面设置有能够抵抗来自这些源的攻击的材料(通常,但不限于,陶瓷)。材料也可经选择以管理电场分布(以DC意义和AC意义两者),从而最大化传输入第二等离子体275中的RF功率。
例如,基板支撑组件118可涂布有氧化铝或氮化铝,且等离子体阻挡筛网270可涂布有氧化铝或氧化钇。任选的陶瓷间隔件350和/或任选的陶瓷泵衬垫370可被用以在基板支撑组件118的边缘处减少横向电场。陶瓷间隔件350和陶瓷泵衬垫370为环形的,使得它们绕处理区域112的周边但不跨越处理区域112的中心区域而延伸,且有利地由低损耗的正切材料(诸如高纯度的氧化铝、氮化硅和/或碳化硅)所制成。具有在0.1至0.0001的范围内的损耗正切的材料提供有用的结果,而具有在0.005至0.001的范围内的损耗正切的材料代表以合理成本的高性能范围。
等离子体阻挡筛网270和陶瓷间隔件350两者的一部分可设置在接地升降板390的一部分的顶上(如图所示),且从中获得机械支撑。升降板390可与等离子体阻挡筛网270、陶瓷间隔件350和其他覆盖结构机械地连接,以能够升降用于组装和/或维护目的的来自基板支撑组件118的附近的所有这样的结构。等离子体阻挡筛网270通过与升降板390接触而电接地。陶瓷间隔件350的厚度经控制以留下在等离子体阻挡筛网270和陶瓷间隔件350之间的间隙360,从而确保陶瓷间隔件350不在方位角方向上中断等离子体阻挡筛网270与升降板390绕处理区域112的周边的连续接触。
由低损耗正切的介电材料形成陶瓷间隔件350和陶瓷泵衬垫370是比较昂贵的(与(例如)由具有陶瓷涂层的铝制造这样的物品相比),但降低了在基板支撑组件118的边缘的电场效应,并减少当第二等离子体275在处理区域112内产生时的反射RF功率。与在相同的位置使用等效的铝零件相比,替换为陶瓷间隔件350和陶瓷泵衬垫370还减少离子轰击相关的污染。陶瓷间隔件350和陶瓷泵衬垫370的使用因此促进等离子体和处理稳定性,并减少污染。
在基板支撑组件118/基板116和等离子体阻挡筛网270之间的电场在方向上是强而均匀的是有利的,因为电场引导与各向异性蚀刻相关的离子。也就是说,为清除在垂直沟槽的底部处的材料,引导离子的电场经配置以为相应地垂直的。较弱的电场存在于基板支撑组件118和接地升降板390之间,穿过陶瓷间隔件350和陶瓷泵衬垫370。这些电场通过被插入在基板支撑组件118和升降板390之间的陶瓷间隔件350和陶瓷泵衬垫370的介电材料而弱化。弱化在基板支撑组件118的边缘处的侧向电场具有两个优点;(1)电场的方向性(且从而蚀刻的方向性)未保持于基板116的边缘,及(2)与较高的电场相比,较弱的电场产生较低的溅射损害。
图4示意性地显示根据于此所述的实施例的处理腔室100。腔室100包括等离子体源模块102和腔室模块110。等离子体源模块102可以是如关于图2所描述的自由基等离子体源。在一个实施例中,第一等离子体245可在腔室100的等离子体源模块102中产生。腔室100除其他部件外还包括关于图1而描述的可以是直接等离子体源的腔室模块110,。在一个实施例中,第二等离子体275可在腔室模块110的处理模块104中产生。应设想来自第一等离子体245、在等离子体源模块102中所产生的自由基可被输送到腔室模块110,并且与由在腔室模块110中所产生的第二等离子体275所产生离子结合使用。如图所示,基板116可位于腔室模块110中,且可实施利用第一等离子体自由基和第二等离子体离子中的一者或两者的循环蚀刻处理。
通过于此所述的设备而实现的方法包括循环蚀刻处理。在一个实施例中,包含离子的直接等离子体(即,第二等离子体275)可在第一操作中用以改性在基板上的一或多个材料层。在第二操作中,经改性的材料层可通过由远程等离子体(即,第一等离子体245)所产生的自由基而移除。第一和第二操作可重复一或多次,直到达成所需的蚀刻轮廓。
虽然前面部分是针对本公开的实施例,但本公开的其他和进一步的实施例可经设计而不背离本公开的基本范围,且本公开的范围通过随后的权利要求而确定。

Claims (15)

1.一种处理腔室设备,包含:
腔室本体,限定处理区域且经配置以在所述处理区域中产生直接等离子体;
基板支撑组件,包含设置于所述处理区域内的静电夹盘;
源模块,包含耦接至所述腔室本体的板堆栈,其中所述板堆栈进一步限定所述处理区域并经配置以在所述源模块中产生远程等离子体;
流动模块,耦接至所述腔室本体;及
排气模块,包含耦接到所述流动模块的对称的流量阀及对称的涡轮分子泵,其中所述腔室本体、所述源模块、所述流动模块和所述排气模块经配置以对称地处理基板。
2.如权利要求1所述的设备,其中所述源模块进一步包含:
RF功率源;
RF电极;
气体源;
气体歧管;及
气体入口管。
3.如权利要求2所述的设备,其中流量集中插入件设置于邻近所述入口歧管的所述气体入口管内。
4.如权利要求1所述的设备,其中所述板堆栈包含:
第一扩散器;
面板;
陶瓷环;
第二扩散器;
气体分配装置;及
等离子体阻挡筛网。
5.如权利要求4所述的设备,其中远程等离子体产生在所述面板和所述第二扩散器之间。
6.如权利要求4所述的设备,其中所述等离子体阻挡筛网经配置以防止直接等离子体产物流到所述板堆栈。
7.如权利要求4所述的设备,其中所述第一扩散器、所述面板、所述陶瓷环、所述第二扩散、所述气体分配装置及所述等离子体阻挡筛网涂布有包含氧化钇或氧化铝的陶瓷涂层。
8.一种处理腔室设备,包含:
腔室本体,限定处理区域;
基板支撑组件,包含设置在所述处理区域内的静电夹盘;
源模块,包含耦接至所述腔室本体的板堆栈,所述板堆栈包含:
第一扩散器;
面板;
陶瓷环;
第二扩散器;
气体分配装置;及
等离子体阻挡筛网;
流动模块,耦接至所述腔室本体;及
排气模块,耦接到所述流动模块。
9.如权利要求8所述的设备,其中所述源模块进一步包含RF源和气体源。
10.如权利要求9所述的设备,其中所述RF源经配置以在所述板堆栈中产生第一等离子体。
11.如权利要求10所述的设备,其中所述RF源经配置以在所述处理区域中产生第二等离子体。
12.如权利要求9所述的设备,其中所述气体源经配置以输送一或多种处理气体到所述板堆栈或所述处理区域的任一者。
13.如权利要求12所述的设备,其中所述处理气体选自由以下所组成的群组:H2、He、Ar、O2、NF3、NH3、N2、N2O、H2O、SiF4、SiH4、SiCl4、多种碳氟化合物前体及其结合。
14.如权利要求8所述的设备,其中所述排气模块包含对称的流量阀和涡轮分子泵。
15.一种处理基板的方法,所述方法包含以下步骤:
在处理腔室的处理区域中产生直接等离子体;
将设置在所述处理区域中的基板支撑组件上的基板暴露于由所述直接等离子体所产生的诸个离子,以改性所述基板的层;
在耦接至所述处理腔室的源模块中产生远程等离子体;
将所述基板的经改性的所述层暴露于由所述远程等离子体所产生的诸个自由基,以从所述基板移除经改性的所述层;及
重复将所述基板暴露于诸个离子和将所述基板暴露于诸个自由基。
CN201680013408.XA 2015-09-04 2016-08-02 用于循环与选择性材料移除与蚀刻的处理腔室 Active CN107408486B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562214902P 2015-09-04 2015-09-04
US62/214,902 2015-09-04
US14/994,425 2016-01-13
US14/994,425 US11004661B2 (en) 2015-09-04 2016-01-13 Process chamber for cyclic and selective material removal and etching
PCT/US2016/045202 WO2017039920A1 (en) 2015-09-04 2016-08-02 Process chamber for cyclic and selective material removal and etching

Publications (2)

Publication Number Publication Date
CN107408486A true CN107408486A (zh) 2017-11-28
CN107408486B CN107408486B (zh) 2020-07-03

Family

ID=58188937

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680013408.XA Active CN107408486B (zh) 2015-09-04 2016-08-02 用于循环与选择性材料移除与蚀刻的处理腔室

Country Status (6)

Country Link
US (2) US11004661B2 (zh)
JP (3) JP6854768B2 (zh)
KR (2) KR102451502B1 (zh)
CN (1) CN107408486B (zh)
TW (3) TWI751637B (zh)
WO (1) WO2017039920A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112585729A (zh) * 2018-09-06 2021-03-30 东京毅力科创株式会社 等离子体处理装置

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015156951A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
KR20180094109A (ko) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
KR101945378B1 (ko) 2017-06-27 2019-02-07 주식회사 포스코 합금 코팅 강판 및 이의 제조방법
JP7461926B2 (ja) * 2018-07-31 2024-04-04 アプライド マテリアルズ インコーポレイテッド 3d nandのためのon積層体オーバレイの改善
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
US11199267B2 (en) 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
KR20220108111A (ko) * 2019-11-27 2022-08-02 어플라이드 머티어리얼스, 인코포레이티드 선택적 갭 충전을 위한 듀얼 플라즈마 사전-세정
US11856706B2 (en) * 2019-12-03 2023-12-26 Applied Materials, Inc. Method and system for improving the operation of semiconductor processing
JP7378317B2 (ja) * 2020-02-26 2023-11-13 東京エレクトロン株式会社 プラズマ処理装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103094045A (zh) * 2011-10-05 2013-05-08 应用材料公司 对称等离子体处理室
US20140227881A1 (en) * 2013-02-08 2014-08-14 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02120833U (zh) * 1989-03-17 1990-09-28
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100658356B1 (ko) 2005-07-01 2006-12-15 엘지전자 주식회사 플라즈마 디스플레이 패널의 구동장치 및 그 구동방법
US20070193575A1 (en) 2006-02-21 2007-08-23 Horng-Yi Jan Container having a heat concentration assembly securely formed on a bottom of the container
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
KR100690961B1 (ko) 2006-06-30 2007-03-09 삼성전자주식회사 이동통신단말기의 문자 입력 방법 및 그 장치
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
AT507069B1 (de) 2008-12-23 2010-02-15 Siemens Vai Metals Tech Gmbh Verfahren und vorrichtung zur kontrolle von vibrationen eines metallurgischen gefässes
US8282042B2 (en) 2009-06-22 2012-10-09 The Boeing Company Skin panel joint for improved airflow
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130007307A (ko) 2011-06-30 2013-01-18 삼성디스플레이 주식회사 유기 발광 표시 장치
US9786471B2 (en) 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
KR20130098707A (ko) * 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
KR101451244B1 (ko) 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9147581B2 (en) * 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
WO2015023435A1 (en) 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
USD948658S1 (en) 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103094045A (zh) * 2011-10-05 2013-05-08 应用材料公司 对称等离子体处理室
US20140227881A1 (en) * 2013-02-08 2014-08-14 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112585729A (zh) * 2018-09-06 2021-03-30 东京毅力科创株式会社 等离子体处理装置
US11869750B2 (en) 2018-09-06 2024-01-09 Tokyo Electron Limited Plasma processing apparatus
CN112585729B (zh) * 2018-09-06 2024-04-05 东京毅力科创株式会社 等离子体处理装置

Also Published As

Publication number Publication date
KR20180038412A (ko) 2018-04-16
JP7425160B2 (ja) 2024-01-30
US20210217591A1 (en) 2021-07-15
JP6854768B2 (ja) 2021-04-07
TWI751637B (zh) 2022-01-01
JP2023027054A (ja) 2023-03-01
CN107408486B (zh) 2020-07-03
JP2018533192A (ja) 2018-11-08
WO2017039920A1 (en) 2017-03-09
TW201722212A (zh) 2017-06-16
TW202116116A (zh) 2021-04-16
JP2021108378A (ja) 2021-07-29
KR102600919B1 (ko) 2023-11-13
TWI704845B (zh) 2020-09-11
KR20220138422A (ko) 2022-10-12
US20170069466A1 (en) 2017-03-09
US11004661B2 (en) 2021-05-11
KR102451502B1 (ko) 2022-10-06
US11728139B2 (en) 2023-08-15
JP7175339B2 (ja) 2022-11-18
TW202211733A (zh) 2022-03-16

Similar Documents

Publication Publication Date Title
JP7425160B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
US20200111643A1 (en) Plasma etching systems and methods with secondary plasma injection
US9171702B2 (en) Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
KR102458699B1 (ko) 개선된 프로세스 균일도를 갖는 기판 지지부
JP2018082150A (ja) 改善したプロファイルを有するデュアルチャネルシャワーヘッド
US20100098882A1 (en) Plasma source for chamber cleaning and process
US20120003836A1 (en) Movable ground ring for a plasma processing chamber
CN112771654A (zh) 具有嵌入式rf屏蔽件的半导体基板支撑件
TWI675132B (zh) 用以滿足具有改良之流動不均勻性/氣體傳導性之可變處理容積的對稱腔室本體設計架構
KR101147908B1 (ko) 월라이너를 포함하는 기판제조장치
US7744720B2 (en) Suppressor of hollow cathode discharge in a shower head fluid distribution system
KR20200051505A (ko) 배치대 및 기판 처리 장치
TWI839420B (zh) 電漿沉積腔室及用以在基板上沉積多層膜之方法
US20230335377A1 (en) Showerhead assembly with heated showerhead
CN113506719B (zh) 包括具有高纯sp3键的cvd金刚石涂层的部件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant