JP2021108378A - 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ - Google Patents

周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ Download PDF

Info

Publication number
JP2021108378A
JP2021108378A JP2021042869A JP2021042869A JP2021108378A JP 2021108378 A JP2021108378 A JP 2021108378A JP 2021042869 A JP2021042869 A JP 2021042869A JP 2021042869 A JP2021042869 A JP 2021042869A JP 2021108378 A JP2021108378 A JP 2021108378A
Authority
JP
Japan
Prior art keywords
plasma
processing
module
substrate
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021042869A
Other languages
English (en)
Other versions
JP7175339B2 (ja
Inventor
トアン キュー. チャン,
Q Tran Toan
トアン キュー. チャン,
スナム パク,
Soo-Nam Park
スナム パク,
ジョンフン キム,
Gyeong Hoon Kim
ジョンフン キム,
ドミトリー ルボミルスキー,
Lubomirsky Dmitry
ドミトリー ルボミルスキー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021108378A publication Critical patent/JP2021108378A/ja
Priority to JP2022178834A priority Critical patent/JP7425160B2/ja
Application granted granted Critical
Publication of JP7175339B2 publication Critical patent/JP7175339B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】半導体基板を処理するための装置及び方法において周期的かつ選択的な材料の除去及びエッチングのための処理チャンバを提供する。【解決手段】プラズマ処理チャンバ100は、ソースモジュール102と、処理モジュール104と、フローモジュール106と、排気モジュール108と、を含む。RF電源124がチャンバに連結される。遠隔プラズマがソースモジュール内で生成され、直流プラズマが処理モジュール内で生成される。周期エッチングプロセスは、基板116をエッチングするためにラジカルと直流プラズマとを交互に使用する。【選択図】図1

Description

本開示の実施形態は、半導体基板を処理するための装置及び方法に関する。より具体的には、本開示の実施形態は、周期エッチングプロセスを実施するよう構成されたラジカルプラズマ源と直流プラズマ源とを有する、処理チャンバに関する。
関連技術の説明
フラットパネルディスプレイや集積回路などの電子デバイスは、通常、層が基板上に堆積され、堆積された材料が所望のパターンにエッチングされる、一連のプロセスによって製造される。これらのプロセスは、通常、物理的気相堆積(PVD)、化学気相堆積(CVD)、プラズマCVD(PECVD)、及び他のプラズマ処理を含む。具体的には、プラズマ処理は、混合処理ガスを真空チャンバに供給することと、無線周波数電力(RF電力)を印加して、処理ガスをプラズマ状態に励起することとを含む。プラズマは、混合ガスを、所望の堆積プロセス又はエッチングプロセスを実施するイオン種に分解する。
プラズマ処理中に遭遇する問題の1つは、処理中に基板表面全体に均一なプラズマ密度を確立することに関連する困難であり、この困難は、基板の中央領域とエッジ領域との間での不均一な処理につながる。均一なプラズマ密度の確立が困難であることの一因は、物理的な処理チャンバ設計における非対称性による、電流、ガス流、及び熱分布の自然発生的な歪みでありうる。かかる歪みは、不均一なプラズマ密度をもたらすだけでなく、プラズマの均一性を制御するための他の処理変数を使用することも困難にする。ゆえに、様々な処理工程を十分に履行するために、複数の処理チャンバが必要になりうる。
加えて、一部の基板処理システムでは、プラズマは、一又は複数の基板が処理されるのと同じ場所で生成される。他の例では、プラズマは、1つの場所で生成され、基板(複数可)が処理される別の場所に移動する。発生したプラズマは、多くの場合、高エネルギーかつ/又は腐食性の種、及び/又は、高エネルギー電子を内包しており、そのため、プラズマを発生させる設備は、時に、エネルギー種及び/又はエネルギー電子との接触により劣化する。例えば、高エネルギーの種及び/又は電子に曝露される材料は、エッチング及び/又はスパッタリングされることがあり、エッチング及び/又はスパッタリングされた材料であって、あちこち動き回り、かつ、チャンバ構成要素の様々な表面上で反応しうるか、又はかかる表面上に堆積し、それによって、チャンバを損傷しうるか、又は、チャンバの保守休止期間の増大を要する、材料を生成しうる。
既存のドライエッチングチャンバは、高アスペクト比構造物のエッチングのための高イオンエネルギーを伴う異方性エッチング向けに、実装される。しかし、高イオンエネルギーのボンバードにより、基板がプラズマに損傷されることがあり、このことはデバイス漏電をもたらす。また、副生成物の再堆積は異方性エッチングを困難にする。
テクノロジーノードが進むにつれて、より細かく、選択的にエッチングする能力に対するニーズが高まっている。したがって、より進んだテクノロジーノードのために実装されうる、可変処理量、フローコンダクタンスの改善、及び処理均一性の改善を可能にする、処理チャンバが必要とされている。加えて、材料選択性の改善を提供するエッチング方法が必要である。
一実施形態では、処理チャンバ装置が提供される。この装置は、処理領域を画定し、かつ、内部で直流プラズマを生成するよう構成された、チャンバ本体を含み、静電チャックを備える基板支持アセンブリが、処理領域の中に配置されうる。プレートスタックを備えるソースモジュールがチャンバ本体に連結されてよく、プレートスタックは、処理領域を更に画定し、かつ、内部で遠隔プラズマを生成するよう構成されうる。フローモジュールがチャンバ本体に連結されてよく、対称フローバルブ及び対称ターボ分子ポンプを備える排気モジュールが、フローモジュールに連結されうる。チャンバ本体、ソースモジュール、フローモジュール、及び排気モジュールは、基板を対称に処理するよう構成されうる。
別の実施形態では、処理チャンバ装置が提供される。この装置は、処理領域を画定するチャンバ本体を含み、静電チャックを備える基板支持アセンブリが、処理領域の中に配置されうる。プレートスタックを備えるソースモジュールが、同様にチャンバ本体に連結されうる。プレートスタックは、第1ディフューザーと、面板と、セラミックリングと、第2ディフューザーと、ガス分配デバイスと、プラズマ遮断スクリーンとを含みうる。フローモジュールがチャンバ本体に連結されてよく、排気モジュールがフローモジュールに連結されうる。
更に別の実施形態では、基板を処理する方法が提供される。この方法は、処理チャンバの処理領域内で直流プラズマを生成することと、基板の層を改質するために、処理領域内の基板支持アセンブリ上に配置された基板を直流プラズマにより生成されたイオンに曝露することとを含む。遠隔プラズマが処理チャンバに連結されたソースモジュール内で生成されてよく、基板の改質された層は、改質された層を基板から除去するために、遠隔プラズマにより生成されたラジカルに曝露されうる。基板をイオンに曝露すること、及び、基板をラジカルに曝露することは、反復されることもある。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本開示の、より詳細な説明が、実施形態を参照することによって得られる。一部の実施形態は付随する図面に示されている。しかし、付随する図面は例示的な実施形態のみを示すものであり、従って、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容されうることに、留意されたい。
本発明の一実施形態による、プラズマ処理チャンバの概略断面図である。 本開示の一実施形態によるプラズマ処理システムの主な要素の断面を、概略的に示す。 本書に記載の実施形態による図2に示すプラズマ処理の一部分の拡大図を概略的に示す。 本書に記載の実施形態による処理チャンバを概略的に示す。
理解を容易にするために、図面に共通する同一の要素を指し示すのに、可能な限り同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると想定される。
本開示は概して、遠隔プラズマ源及び直流プラズマ源を有するチャンバに関する。遠隔プラズマ源はラジカルを生成するよう構成されてよく、直流プラズマ源はイオンを生成するよう構成されうる。加えて、チャンバは、基板のプラズマ処理中の対称性の改善をもたらすための様々な装置を含む。加えて、周期エッチングプロセスための方法が開示される。
図1は、本開示の一実施形態による、プラズマ処理チャンバ100の概略断面図である。プラズマ処理チャンバ100は、プラズマエッチングチャンバ、プラズマ化学気相堆積チャンバ、物理的気相堆積チャンバ、プラズマトリートメントチャンバ、イオン注入チャンバ、又は、他の好適な真空処理チャンバでありうる。
プラズマ処理チャンバ100は、複数のモジュールから組み立てられうる。このモジュール式設計は、プラズマ処理チャンバ100が様々な処理要件を満たすことを可能にする。図1に示しているように、プラズマ処理チャンバ100は、ソースモジュール102と、処理モジュール104と、フローモジュール106と、排気モジュール108とを含みうる。ソースモジュール102、処理モジュール104、及びフローモジュール106は、集合的に、処理領域112を囲んでいる。ソースモジュール102のより詳細な説明は、図2及びそこに記載されたソースモジュールを参照して得られる。処理モジュール104、フローモジュール106、及び排気モジュール108は、ある種の実施形態では、集合的にチャンバモジュール110と見なされうる。
工程において、基板116は基板支持アセンブリ118上に位置付けられ、処理領域112内で生成されたプラズマなどの処理環境に曝露されうる。プラズマ処理チャンバ100内で実施されうる例示的なプロセスは、エッチング、化学気相堆積、物理気相堆積、注入(implantation)、プラズマアニール処理、プラズマトリートメント、軽減(abatement)、又は、その他のプラズマ処理を含みうる。フローモジュール106により画定された排出チャネル114を通じて排気モジュール108から吸引を行うことによって、処理領域112内に真空が維持されうる。
処理領域112及び排出チャネルは、対称な電流、ガス流、及び熱流を提供して、均一な処理条件を確立するために、中心軸111の周囲で実質的に対称である。
一実施形態では、図1に示しているように、ソースモジュール102は、一又は複数のプラズマを生成するよう構成された容量結合プラズマ源であってよく、生成されたプラズマのうち、少なくとも1つは遠隔プラズマと見なされ、かつ、1つは直流プラズマと見なされうる。ソースモジュール102は、電極(すなわちアノード)として機能しうるプレートスタック101であって、アイソレータ122によって処理モジュール104から隔離され、かつ、処理モジュール104によって支持されている、プレートスタック101を含みうる。プレートスタック101は、積み重ね配向で配置された、様々なシャワーヘッド、ディフューザー、及び、スクリーン/ブロッカプレートを含みうる。プレートスタック101は、ガス入口チューブ126を通じてガス源132に接続されうる。プレートスタック101及びガス入口チューブ126は全て、アルミニウム又はステンレス鋼などの高周波(RF)導電性材料から製造されうる。プレートスタック101は、導電性のガス入口チューブ126を介して、RF電源124に連結されうる。RFマッチングネットワーク125もRF電源124に連結されうる。導電性のガス入口チューブ126は、RF電力と処理ガスの両方が対称に提供されるように、プラズマ処理チャンバ100の中心軸111と同軸でありうる。
上記では容量性プラズマ源が説明されているものの、ソースモジュール102は、処理要件に準じた任意の好適なガス/プラズマ源でありうる。例えば、ソースモジュール102は、誘導結合プラズマ源、遠隔プラズマ源、又はマイクロ波プラズマ源でありうる。
処理モジュール104は、ソースモジュール102に連結される。処理モジュール104は、処理領域112を囲むチャンバ本体140を含みうる。チャンバ本体140は、アルミニウム又はステンレス鋼などの、処理環境に対して抵抗性を有する導電性材料から製造されうる。基板支持アセンブリ118は、チャンバ本体140内の中央に配置され、かつ、中心軸111の周囲で対称になるように、処理領域112内で基板116を支持するよう、位置付けられうる。
スリットバルブ開口部142が、基板116の通過を可能にするために、チャンバ本体140を通って形成されうる。スリットバルブ144が、スリットバルブ開口部142を選択的に開閉するよう、チャンバ本体140の外側に配置されうる。
一実施形態では、上部ライナアセンブリ146が、チャンバ本体140の上部内に配置され、チャンバ本体140を処理環境から保護しうる。上部ライナアセンブリ146は、チャンバ本体140内に形成されたスリットバルブ開口部142に対応する開口部148を含みうる。一実施形態では、上部ライナアセンブリ146は、スリットバルブ開口部142によってもたらされるチャンバ本体140の非対称性を補償するために中心軸111の周囲に対称に形成された2つ以上の開口部148を含み、それゆえ、プラズマ処理チャンバ100の中の処理領域112の対称性を創出しうる。例えば、上部ライナアセンブリ146は、互いから120度離間して形成された同一の3つの開口部148を有する、円筒形の壁でありうる。上部ライナアセンブリ146は、アルミニウム、ステンレス鋼、及び/又はイットリアなどの、導電性の処理適合材料(例えばイットリアでコーティングされたアルミニウム)から作られうる。
一実施形態では、チャンバ本体140及び上部ライナアセンブリ146に温度制御をもたらして、プラズマ処理チャンバ100の中の熱対称性、及び、処理領域112内に提供されるプラズマの対称性を強化するために、冷却チャネル150がチャンバ本体140内に形成されうる。
フローモジュール106は、処理モジュール104に取り付けられる。フローモジュール106は、処理モジュール104内に画定された処理領域112と排気モジュール108との間に、流路を提供する。フローモジュール106は、基板支持アセンブリ118とプラズマ処理チャンバ100の外部の大気環境との接合境界も提供する。
フローモジュール106は高さ107を有する高さ107は、処理要件によって決まる垂直移動量又は空間可変の程度に準じて、選択されうる。したがって、特定のプロセスのために処理チャンバを作製する際に、好適な高さを有するフローモジュールが、処理要件を満たすよう選択されうる。別のプロセスのための処理チャンバを構成する場合、フローモジュールは、異なる高さを有する別のフローモジュールに交換されうる。
フローモジュール106は、外壁160と、内壁162と、内壁162と外壁160との間を接続する径方向壁164の2つ以上の対と、内壁162及び径方向壁164の2つ以上の対に取り付けられた底部壁166とを含む。外壁160は、径方向壁164の各対の間に形成された2つ以上の貫通孔170を含みうる。シャーシ154が、内壁162及び径方向壁164の2つ以上の対の上を密封して配置されうる。貫通孔170は、内壁162によって画定された大気空間168を外部環境に接続し、ひいては、電気接続、ガス接続、冷却流体接続などのユーティリティ接続を収容する。シャーシ154は、基板支持アセンブリ118を受容するための中央開口部158を含みうる。
フローモジュール106の外壁160は、処理モジュール104のチャンバ本体140に合致するよう形作られる。一実施形態では、外壁160は、チャンバ本体140のフランジに対応するフランジを含みうる。フランジを固定して、フローモジュール106を処理モジュール104に連結するために、複数のボルトが使用されうる。一実施形態では、密封材152がチャンバ本体140のフランジと外壁160のフランジとの間に配置されて、それらの間に真空密封を形成しうる。密封材152は、Oリング又は他の種類の密封材でありうる。一実施形態では、RF接地ガスケット172がフローモジュール106と処理モジュール104との間に配置されて、それらの間に、均一かつ対称なRF接地リターン経路のための強固な接触を提供しうる。
内壁162、底部壁166、径方向壁164、及びシャーシ154は、外壁160の内部の空間を、排出チャネル115と大気空間168とに分割する。排出チャネル114は、処理モジュール104の処理領域112に接続している。排出チャネル114と大気空間168との間に真空密封を提供するために、密封材156が溝154b、164bの中に配置されうる。密封材156は、弾性密封材などの、Oリング又は他の種類の密封材でありうる。
外壁160と内壁162とは、同心に配置された円筒形の壁でありうる。組み立てられると、外壁160及び内壁162の中心軸は、プラズマ処理チャンバ100の中心軸111と重なる。径方向壁164の2つ以上の対が、内壁162と外壁160との間に配置されて、それらの間の空間を排出チャネル115と貫通孔170とに分割する。一実施形態では、径方向壁164の2つ以上の対は、排出チャネル114が中心軸111の周囲で対称になるように配置される。フローモジュール106は、互いから120度離間して配置された径方向壁164の3つの対を含み、中心軸に対して対称な3つの排出チャネル114を形成しうる。排出チャネル114の対称配置は、処理領域112からのガスの対称な除去を促進し、基板116全体で対称なガスの流れをもたらす。加えて、排出チャネル114及び径方向壁164を対称に位置付けることで、プラズマ処理チャンバ100内の熱分布及び電気伝導の対称性が向上する。
排気モジュール108は、対称フローバルブ180と、対称フローバルブ180に取り付けられた真空ポンプ182とを含む。真空ポンプ182は、ある種の実施形態では、対称ターボ分子ポンプでありうる。対称フローバルブ180は、プラズマ処理チャンバ100内に対称かつ均一な流れを提供するよう、排出チャネル114に接続している。
基板支持アセンブリ118は、基板116を中心軸111の周囲に対称に位置付けるために、中心軸111に沿って位置付けられる。基板支持アセンブリ118はシャーシ154によって支持される。基板支持アセンブリ118は、処理領域112内に配置されている支持プレート174と基部プレート176、及び、シャーシ154の中央開口部158を通って配置された中空シャフト178を含む。ベローズ184が、基部プレート176とシャーシ154との間を接続し、かつ、中空シャフト178を取り囲みうる。ベローズ184は、基板支持アセンブリ118が中心軸111に沿って垂直に動くことを可能にし、フローモジュール106内の大気空間168と処理モジュール104内の処理領域112との間に真空密封を提供する。
支持プレート174は、チャック電極186を有する静電チャック(ESC)でありうる。チャック電極186は、単極の4ゾーンESC、双極の4ゾーンESC、又は高温ESCでありうる。双極ESCは、基板116のデチャック中にRF接地のためのプラズマへの曝露を必要としないことがあると、想定される。4ゾーンESCは、処理中に、チャック電極186上に配置された基板の加熱プロファイルを中央からエッジへと変調させて、温度均一性の改善を提供するために、4つの同心加熱ゾーン(すなわち加熱素子188)を利用しうる。高温ESCは、最高で約600oCの温度を伴う利用に適しうる。チャック電極186によって生成される温度は、高次の材料選択性を維持するための、エッチングされた基板層の副生成物の昇華に適しうる。
支持プレート174は、処理中に基板116を加熱するための加熱素子188も含みうる。基部プレート176は、内部に形成された冷却チャネル190を含みうる。チャック電極186は、中空シャフト178、大気空間168、及び、1つの貫通孔170を通じて、バイアス電源187に接続されうる。加熱素子188は、中空シャフト178、大気空間168、及び、1つの貫通孔170を通じて、加熱電源189に接続されうる。冷却チャネル190は、中空シャフト178、大気空間168、及び、1つの貫通孔170を通じて、冷却流体源191に接続されうる。
一実施形態では、基板支持アセンブリ118を垂直に動かすために、アクチュエータアセンブリ192が中空シャフト178に連結されうる。アクチュエータアセンブリ192は、基板支持アセンブリ118が処理領域112の中で動き、基板116の処理位置を変えることを、可能にしうる。例えば、アクチュエータアセンブリ192は、基板支持アセンブリ118を、プレートスタック101から約0.5インチ〜約6インチの距離だけ離して位置付けうる。従来型のプラズマ処理装置と比較した場合、プレートスタック101と基板支持アセンブリ118との間の距離が減少することで、低圧状態(例としては20mTorr未満、例えば約1mTorr)において、より多くの容量結合プラズマ(CCP)を生成するウインドウが提供される。したがって、RF電極(すなわちプレートスタック101)とRF接地との間の間隙が増大して、CCPの降伏電圧(breakdown voltage)の低減による低圧CCP放電が可能になりうる。CCPを生成する実施形態において、基板支持アセンブリ118は、所望の実行形態に応じて、電極(すなわちカソード)又は接地ガスケット172を介する接地としても機能しうる。アクチュエータアセンブリ192は、大気空間168内に配置されうる。リフトピンアクチュエータ194が、リフトピン196を動かすために大気空間168内に配置されうる。
プラズマスクリーン198が、プラズマを処理領域112の中に留めるために、処理領域112と排出チャネル114との間に配置されうる。基板支持アセンブリ118を処理の化学作用から保護するために、基板支持ライナ199が基板支持アセンブリ118の周囲に配置されうる。
工程において、ガス源132からの一又は複数の処理ガスが、プレートスタック101を通って処理領域112に進入しうる。低圧状態における利用に適した処理ガスは、H、He、Ar、O、NF、NH、N、NO、HO、SiF、SiH、SiCl、及び、様々なフッ化炭素前駆体を含む。RF電力が、直流プラズマ(イオン生成)を点弧させ、処理領域112内に維持するために、プレートスタック101と基板支持アセンブリ118との間に印加されうる。RF電力はガス入口チューブ126を介してプレートスタック101にも印加されてよく、遠隔プラズマ(ラジカル生成)が、プレートスタック101の中に生成されうる。同期RFパルシング又はステップRFパルシングが、イオンエネルギー及びラジカルの濃度を制御するために利用されうる。ゼロDCバイアスが、利用されてよく、粒子発生の低減を可能にしうるイオンボンバードの低減を提供しうる。多重RF周波数(すなわち、400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz)が、プラズマ密度及びイオンエネルギーを変調させるために利用されうる。カスタマイズされた波形位相角度変調が、イオンエネルギー分配を制御するために利用されうる。RF電源124及びRFマッチングネットワーク125は、約5Wに等しいほど低い電力で作動するよう構成されうると同時に、安定的なプラズマ放電を維持しうると、想定される。
基板支持アセンブリ118上に配置された基板116は、直流プラズマと遠隔プラズマの両方によって処理される。例えば、処理領域112内で生成される直流プラズマは、基板116の表面上の材料をイオンに曝露することによって、この材料を改質するために利用されうる。プレートスタック101内の遠隔プラズマから生成されるラジカルは、基板116上の未改質材料に対する高選択性を伴って、改質された材料を除去するために、遠隔プラズマから抽出されうる。したがって、エッチングされるフィーチャの、上部平坦化の改善、並びに、フッティング(footings)及びボウイング(bowings)の低減又は消去を提供する、高選択性材料除去プロセスが実現しうる。この除去プロセスは、所望のフィーチャ形状が実現するまで、一連続プロセスにおいてイオン改質プロセスとラジカル除去プロセスとが反復される、周期プロセスでありうる。
粒子を発生させ、金属を汚染する動作を低減し、かつ、処理安定性を改善するために、インシトゥ(その場)のチャンバシーズニングプロセスも実装されうる。SiF、SiH、SiClなどのシリコン源化学物質は、CCP又は誘導結合プラズマのもとで解離された酸素と反応して、チャンバ表面上に酸化ケイ素層を形成しうる。チャンバシーズニングプロセスは、前述の周期エッチングプロセスにおける安定性の改善をもたらしうる。
プラズマを生成するために利用される一又は複数の処理ガスが、処理領域112又はプレートスタック101に連続的に供給されてよく、真空ポンプ182は、基板116の近隣に対称かつ均一なプラズマプロファイルを生成するために、対称フローバルブ180及びフローモジュール106を通じて作動しうる。処理領域112と排出チャネル114とを別々のモジュール内に画定することによって、本開示の実施形態は、単純なチャンバ構造を伴う均一で対称な処理環境を提供し、ひいては、製造コストを低減し、かつ、プラズマ損傷がなく高度の材料選択性を有するエッチングプロセスを可能にする。
図2は、一実施形態による、ソースモジュール102の詳細断面図を概略的に示している。ソースモジュール102は図1に示すプラズマ源モジュール102の一例であり、他の様々なプラズマ源モジュール設計が有利に実装されうると想定される。ソースモジュール102は、プラズマ源210と、後述するように、同じくプラズマを生成しうる処理領域112とを含む。図2の配向では、ガス及び/又はプラズマ生成物の流れの概括的な方向は下向きであり、この方向は、本書では「下流(downstream)」と称されうる。一方、図2の配向における上向きの反対方向は、「上流(upstream)」と称されうる。また、図2に示す装置のかなりの部分は、中心軸201の周囲で円筒形に対称であってよく、関連方向は、径方向207及び方位角方向203と定義されている。本書では方向についてのこの慣例が使用されうるが、本書に記載の原理の多くは円筒形に対称なシステムに限定されないことが、当業者には理解されよう。
図2に示しているように、プラズマ源210は、RF電極215を通じて、プラズマ源ガス212として、ガス及び/又は上流の遠隔プラズマ源によってイオン化されているガスを、導入しうる。ガスマニホールド202が、RF電極215に連結され、かつ/又は、RF電極215の近隣に配置されうる。処理ガスは、ガス源132からガスマニホールド202に提供されうる。ガス源312からの処理ガスは、フィードスルー部材208を通ってガスマニホールド202に進入しうる。一実施形態では、フィードスルー部材208は、ポリテトラフルオロエチレンなどのポリマー材料から形成されうる。フローセンタリングインサート204が、ガス入口チューブ126の中の、ガスマニホールド202の近隣に配置されうる。フローセンタリングインサート204は、内側に形成された開口部206を有するリング状の装置でありうる。開口部206は、インサート204の中央を通って形成されてよく、単一の開孔でありうるか、又は、複数の開孔でありうる。単一開孔の実施形態では、開口部206の直径は約0.125インチでありうる。フローセンタリングインサート204は、プラズマ源モジュール102のプレートスタック101内での処理ガスの同心フロー分配を改善しうる。
RF電極215は、プラズマ源210全体でガス流が均一に(図2の図では左から右へと均一に)なるように源ガスの流れを方向付けし直すよう作用する、第1ガスディフューザー220及び面板225に、電気的に連結されうる。本書のディフューザー又はスクリーンのいずれもが、特定の電位に関連付けられうることから、かかるディフューザー又はスクリーンは全て、電極として特徴付けられうることに留意すべきである。絶縁体230が、電気的接地に保持されている第2ディフューザー235から、面板225を含むRF電極215を電気的に絶縁する。第2ディフューザー235は、RF電極215の面板225に対向している第2電極として作用する。
面板225、第2ディフューザー235、及び絶縁体230の表面が、第1プラズマ生成キャビティを画定する。プラズマ源ガス212が存在しており、かつ、RFエネルギーがRF電極215を通じて面板225に提供された時に、この第1プラズマ生成キャビティ245で、第1プラズマ245(すなわち遠隔プラズマ)が作り出されうる。RF電極215、面板225、及び第2ディフューザー235は、任意の導体で形成されてよく、実施形態では、アルミニウム(又は、既知の「6061」合金種などのアルミニウム合金)で形成されている。
第1プラズマ245に直接面している面板225及び第2ディフューザー235の表面は、プラズマ245内で生成されるエネルギープラズマ生成物よるボンバードに対する耐性のために、例えばイットリア(Y)又はアルミナ(Al)のセラミック層でコーティングされうる。セラミックコーティングは、電子ビームコーティングプロセス、陽極酸化プロセス、及び/又は、無孔性陽極酸化プロセスによって、形成されうる。他の好適なコーティングは、例えば濃縮HNO溶液への曝露によるニッケルめっきコーティング及び表面酸化プロセスを含む。プラズマに必ずしも直接曝露されないが、反応性ガス及び/又はプラズマにより生成されたラジカルに曝露される、面板225及び第2ディフューザー235のその他の表面は、化学的耐性のために、セラミック層(例えばイットリア、アルミナ)で、若しくは、好適なパッシべート層(例えば被陽極酸化層、又は化学作用により生成されたアルミナ層)で、コーティングされうる。絶縁体230は、任意の絶縁体であってよく、ある種の実施形態では、セラミック材料から形成される。
プラズマ245内で生成されたプラズマ生成物は、第2ディフューザー235を通過する。第2ディフューザー235は、プラズマ生成物の均一分散を向上させることにも役立ち、電子温度制御を支援しうる、プラズマ生成物は、第2ディフューザー235を通過してから、均一性を向上させるガス分配デバイス260を通過する。ガス分配デバイス260は、更に、電気的接地に保持されている。ガス分配デバイス260を貫通している開孔の直径は、第2ディフューザー235内の開孔の直径の少なくとも3倍である。また、ガス分配デバイス260は、一又は複数の更なるガス155(2)が処理領域112に進入する際にそれらのガスをプラズマ生成物に導入するために使用されうる、更なるガスチャネル250を含む(つまり、ガス155(2)は、ガス分配デバイス260の第2ディフューザー235から遠い側からだけ出てくる)。ガス分配デバイス260は、更に、アルミニウム又はアルミニウム合金で作られてよく、上述の面板225及び第2ディフューザー235と同様に、少なくとも、化学的耐性のためにパッシべート層でコーティングされうるか、又は、セラミック層でコーティングされうる。
加熱素子262が、プラズマ源モジュール102のプレートスタック101内に配置されうる。加熱素子262は、例えば抵抗性ヒータなどの、螺旋形状のヒータでありうる。加熱素子262は、図示しているように、ガス分配デバイス260の中に形成された溝内に配置されうるか、又は、第2ディフューザー235の中に形成された溝内に配置されうる。代替的には、加熱素子262は、ガス分配デバイス260の中に形成された溝内に、プラズマ遮断スクリーン270に面して配置されうる。別の実施形態では、加熱素子262は、プラズマ遮断スクリーン270の中に形成された溝内に、ガス分配デバイス260に面して配置されうる。加熱素子262は、プレートスタック101全体で熱分布の対称性を改善し、かつ、第1プラズマ256及び/又はプラズマ生成物(すなわちラジカル)の維持を容易にするよう、構成されうる。
ガス155(1)、155(2)、及び/又は、第1プラズマ245からのプラズマ生成物が、プレナムキャビティ265に進入し、次いで、プラズマ遮断スクリーン270を通過して、処理領域112に至る。SPIシャワーヘッドとしても既知であるプラズマ遮断スクリーン270は、約0.01インチ〜約1.0インチの範囲内の厚さを有してよく、上流のソースからのガス及びプラズマ生成物が通過して処理領域112に入ることを可能にするよう構成されている多数の小開孔が、プラズマ遮断スクリーン270内に形成されうる。プラズマ遮断スクリーン270の開孔は、概括的に、高アスペクト比の孔であり、開孔の孔直径は、約0.01インチ〜約0.25インチでありうる。プラズマ遮断スクリーン270は、詳細に後述するように、上流の構成要素から下流のプラズマ及びプラズマ生成物を実質的に遮断する。実施形態において、プラズマ遮断スクリーン270は、有利には、その中央領域に平方インチあたり少なくとも10の開孔が形成されてよく、ある種の実施形態では、平方インチあたり30以上の開孔が形成されうる。
ガス分配デバイス260と同様にプラズマ遮断スクリーン270も、電気的接地に保持されている。上述の面板225及び第2ディフューザー235と同様に、プラズマ遮断スクリーン270のプラズマに直接曝露される表面が、有利には、セラミック(例えばアルミナ又はイットリア)でコーティングされると共に、プラズマに直接曝露されない表面も、セラミックでコーティングされてよく、有利には、少なくとも、反応性ガス及び活性種に対する化学的耐性のためにパッシべート層でコーティングされる。一実施形態では、コーティングが損傷を受けるか、又はコーティングの作動効率が低下した場合に、コーティングの欠陥性を低減し、かつ、効率的な置換を可能にするために、シリコン材料を含有する分離可能コーティングが、プラズマ遮断スクリーン270上に配置されうる。
上述のように生成される全てのガス及び/又はプラズマ生成物が、処理領域112の中で基板116と相互作用し、第2プラズマ275(すなわち直流プラズマ)が処理領域112の中で生成されうる。処理領域112の中でプラズマが求められる場合、第2ディフューザー235が電気的接地に保持されていることから、第2プラズマ275を作り出すためのRF電力は、基板支持アセンブリ118に印加される。基板116の方向性(異方性)エッチングを容易にするよう第2プラズマ275内で生成されるイオンを誘導するために、DCバイアスも基板支持アセンブリ118に印加されうる。一実施形態では、バイアスの印加から恩恵を得ない他の様々な処理パラメータが用いられる場合にはバイアスが不必要でありうることから、0DCバイアスも利用されうる。基板支持アセンブリ118は、選択された時に処理領域112の中でプラズマを生成し、それ以外の時には生成しないように、RF及び/又はDCのバイアス源にスイッチ可能に接続されうる。基板支持アセンブリ118は、面板225と第2ディフューザー235との間で第1プラズマ245を作り出すために使用されるのと同じRF電源(電源124)に接続されうるか、又は、異なるRF電源(図示せず)に接続されうる。
プラズマ遮断スクリーン270の使用、RF電力及び/又はDCバイアスを基板ホルダ135に提供することによりプラズマを生成するか、又はかかるプラズマを生成しないかを選ぶ能力、及び、本書に記載のその他の特徴により、プラズマ源モジュール102を利用する場合の応用適応性が提供される。例えば、一度目に、(チャンバ100で実装され、チャンバ100に接続されている)プラズマ源モジュール102は、処理領域112の中でプラズマが生成されないモードで運転されうる。この一度目に、プラズマ源モジュール102の上流部分によって提供されるガス及び/又はプラズマ生成物は異方性エッチングを提供してよく、基板支持アセンブリ118は、DC接地に保持されうる(ただし、基板116の静電チャックを提供するために、基板支持アセンブリ118の立体的(spatial)部分全体にDCオフセットが提供されうる。)。二度目には、プラズマ源モジュール102は、処理領域112の中でプラズマが生成されるモードで運転されてよく、そのプラズマ生成物は、プラズマ遮断スクリーン270と基板支持アセンブリ118との間にDCバイアスによって誘導されうる。この二度目では、DCバイアスによって誘導されるプラズマ生成物が、例えば、基板116上の広範囲の表面堆積物を除去しつつ側壁を残すため、又は、基板116の中の深いトレンチ内の材料を取り除くために、異方性エッチングを提供しうる。上記の説明はバイアスすることを含んでいるが、本書に記載の実施形態は、ある種の実施形態においてはDCバイアスを利用しないことがあることに、留意されたい。プラズマ遮断スクリーン270の特徴を、図3に詳細に示されている、図2のAと記載された部分の拡大図に、より詳しく示す。
図3は、図2に記載の領域Aを概略的に示している。図2と同じく、基板116は、処理領域112の中の基板支持アセンブリ118上に図示されている。ガス155及び/又は既に生成されているプラズマ生成物が流れて、プラズマ遮断スクリーン207を通り、第2プラズマ275が生成される処理領域112に入る。上記のように、プラズマ遮断スクリーン270は電気的接地に保持されている。RFエネルギー及びオプションのDCバイアスが、第2プラズマ275にエネルギーを提供するために、基板支持アセンブリに印加される。処理領域112の中に反応種とイオンボンバードの両方のソースが存在することにより、処理領域112の内表面には、かかるソースによる腐食に抗することが可能な材料(概括的にはセラミックであるが、それに限定されない)が提供される。材料は、更に、DCとACの両方の点で電界分布をうまく処理して、第2プラズマ275へのRF電力の供給を最大化するよう、選ばれうる。
例えば、基板支持アセンブリ118はアルミナ又は窒化アルミニウムでコーティングされてよく、プラズマ遮断スクリーン270は、アルミナ又はイットリアでコーティングされうる。オプションのセラミックスペーサ350及び/又はオプションのセラミックポンプライナ370が、基板支持アセンブリ118のエッジにおける横電界を低減するために使用されうる。セラミックスペーサ350及びセラミックポンプライナ370は、処理領域112の外周の周囲に延在するが、処理領域112の中央領域にはアクセスしないように、リング形状であり、かつ、有利には、高純度のアルミナ、窒化ケイ素、及び/又は炭化ケイ素などの低損失正接材料から製造される。0.1〜0.0001の範囲内の損失正接を有する材料が有用な結果を提供すると同時に、0.005〜0.001の範囲内の損失正接を有する材料は、妥当なコストで一連の優れた性能を示す。
図示しているように、プラズマ遮断スクリーン270とセラミックスペーサ350は両方とも部分的に、接地されたリフトプレート390の一部分の上に配置され、それによる機械的支持を得うる。リフトプレート390は、プラズマ遮断スクリーン270、セラミックスペーサ350、及び、上方の他の構造物の全てが、組み立て及び/又は保守のために、基板支持アセンブリ118の付近から上昇することを可能にするように、かかる構造物に機械的に接続されうる。プラズマ遮断スクリーン270は、リフトプレート390との接触を通じて、電気的に接地される。処理領域112の外周の周囲での、プラズマ遮断スクリーン270とリフトプレート390との方位角方向の継続的な接触をセラミックスペーサ350が妨害しないことを確実にするために、セラミックスペーサ350の厚さは、プラズマ遮断スクリーン270とセラミックスペーサ350との間に間隙360を保つよう、制御される。
低損失正接誘電体材料でセラミックスペーサ350及びセラミックポンプライナ370を形成することは、(例えば、かかるアイテムをセラミックコーティングを有するアルミニウムから製造することと比べて)比較的高価であるが、第2プラズマ274が処理領域112の中で生成される時の、基板支持アセンブリ118のエッジにおける電界効果を低減し、かつ、反射RF電力を低減する。セラミックスペーサ350及びセラミックポンプライナ370を置き替えることで、更に、同じ場所で使用される同等のアルミニウム部品と比較して、イオンボンバード関連の汚染が低減される。ゆえに、セラミックスペーサ350及びセラミックポンプライナ370の使用は、プラズマ及びプロセスの安定性を向上させ、かつ、汚染を低減する。
基板支持アセンブリ118/基板116とプラズマ遮断スクリーン270との間の電界が異方性エッチングに関与するイオンを誘導することから、その電界が強く、かつ方向が均一であることは有利である。つまり、垂直トレンチの底部の材料を取り除くために、電界が誘導するイオンは、対応して垂直になるよう構成される。基板支持アセンブリ118と接地されたリフトプレート390との間に、セラミックスペーサ350及びセラミックポンプライナ370を通る、より弱い電界が存在する。これらの電界は、セラミックスペーサ350及びセラミックポンプライナ370の誘電体材料が基板支持アセンブリ118とリフトプレート390との間に入ることによって、弱められる。基板支持アセンブリ118のエッジにおける横電界を弱めることには、(1)電界の方向性、ひいてはエッチングの方向性が、基板116のエッジまで維持されること、及び、(2)弱い電界によって生成されるスパッタリング損傷は、高い電界によるものよりも少なくなること、という2つの利点がある。
図4は、本書に記載の実施形態による処理チャンバ100を概略的に示している。チャンバ100は、プラズマ源モジュール102と、チャンバモジュール110とを含む。プラズマ源モジュール102は、図2に関して説明しているように、ラジカルプラズマ源でありうる。一実施形態では、第1プラズマ245は、チャンバ100のプラズマ源モジュール102内で生成されうる。チャンバ100は、図1に関して説明しているように、他の構成要素の中でも特に、直流プラズマ源でありうるチャンバモジュール110も含む。一実施形態では、第2プラズマ275は、チャンバモジュール110の処理モジュール104内で生成されうる。プラズマ源モジュール102内で生成された第1プラズマ245によるラジカルは、チャンバモジュール110に運ばれ、チャンバモジュール110内で生成される第2プラズマ275により生成されたイオンと組み合わされて利用されうると、想定される。図示しているように、基板116は、チャンバモジュール110内に位置付けられてよく、第1プラズマラジカルと第2プラズマイオンの一方又は両方を利用する周期エッチングプロセスが実施されうる。
本書に記載の装置によって可能になる方法は、周期エッチングプロセスを含む。一実施形態では、イオンを含む直流プラズマ(すなわち第2プラズマ275)が、第1工程において、基板上の一又は複数の材料層を改質するために利用されうる。第2工程では、改質された材料層が、遠隔プラズマ(すなわち第1プラズマ245)により生成されたラジカルによって除去されうる。第1及び第2の工程は、所望のエッチング形状が実現するまで、一回又は複数回反復されうる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって定められる。

Claims (15)

  1. 処理領域を画定し、かつ、内部で直流プラズマを生成するよう構成された、チャンバ本体と、
    静電チャックを備え、前記処理領域の中に配置された、基板支持アセンブリと、
    プレートスタックを備え、前記チャンバ本体に連結されたソースモジュールであって、前記プレートスタックが、前記処理領域を更に画定し、かつ、内部で遠隔プラズマを生成するよう構成されている、ソースモジュールと、
    前記チャンバ本体に連結されたフローモジュールと、
    対称フローバルブ及び対称ターボ分子ポンプを備え、前記フローモジュールに連結された、排気モジュールとを備える、処理チャンバ装置であって、前記チャンバ本体、前記ソースモジュール、前記フローモジュール、及び前記排気モジュールが、基板を対称に処理するよう構成される、装置。
  2. 前記ソースモジュールが、
    RF電源と、
    RF電極と、
    ガス源と、
    ガスマニホールドと、
    ガス入口チューブとを更に備える、請求項1に記載の装置。
  3. フローセンタリングインサートが、前記ガス入口チューブの中の、前記ガスマニホールドの近隣に配置される、請求項2に記載の装置。
  4. 前記プレートスタックが、
    第1ディフューザーと、
    面板と、
    セラミックリングと、
    第2ディフューザーと、
    ガス分配デバイスと、
    プラズマ遮断スクリーンとを備える、請求項1に記載の装置。
  5. 遠隔プラズマが、前記面板と前記第2ディフューザーとの間で生成される、請求項4に記載の装置。
  6. 前記プラズマ遮断スクリーンが、直流プラズマ生成物が前記プレートスタックへと流れることを防止するよう構成される、請求項4に記載の装置。
  7. 前記第1ディフューザー、前記面板、前記セラミックリング、前記第2ディフューザー、前記ガス分配デバイス、及び前記プラズマ遮断スクリーンの各々が、イットリア又はアルミナを含有するセラミックコーティングでコーティングされる、請求項4に記載の装置。
  8. 処理領域を画定するチャンバ本体と、
    静電チャックを備え、前記処理領域の中に配置された、基板支持アセンブリと、
    プレートスタックを備え、前記チャンバ本体に連結された、ソースモジュールとを備える、処理チャンバ装置であって、前記プレートスタックが、
    第1ディフューザー、
    面板、
    セラミックリング、
    第2ディフューザー、
    ガス分配デバイス、及び、
    プラズマ遮断スクリーンを備え、更に、
    前記チャンバ本体に連結されたフローモジュールと、
    前記フローモジュールに連結された排気モジュールとを備える、装置。
  9. 前記ソースモジュールが、RF源及びガス源を更に備える、請求項8に記載の装置。
  10. 前記RF源が、前記プレートスタックの中で第1プラズマを生成するよう構成される、請求項9に記載の装置。
  11. 前記RF源が、前記処理領域内で第2プラズマを生成するよう構成される、請求項10に記載の装置。
  12. 前記ガス源が、前記プレートスタック又は前記処理領域のいずれかに一又は複数の処理ガスを供給するよう構成される、請求項9に記載の装置。
  13. 前記処理ガスは、H、He、Ar、O、NF、NH、N、NO、HO、SiF、SiH、SiCl、フッ化炭素前駆体、及びこれらの組み合わせからなる群から選択される、請求項12に記載の装置。
  14. 前記排気モジュールが対称フローバルブ及びターボ分子ポンプを備える、請求項8に記載の装置。
  15. 基板を処理する方法であって、
    処理チャンバの処理領域内で直流プラズマを生成することと、
    基板の層を改質するために、前記処理領域内の基板支持アセンブリ上に配置された前記基板を前記直流プラズマにより生成されたイオンに曝露することと、
    前記処理チャンバに連結されたソースモジュール内で遠隔プラズマを生成することと、
    前記基板から改質された前記層を除去するために、前記基板の前記改質された層を前記遠隔プラズマにより生成されたラジカルに曝露することと、
    前記基板をイオンに曝露すること、及び、前記基板をラジカルに曝露することを、反復することとを含む、方法。
JP2021042869A 2015-09-04 2021-03-16 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ Active JP7175339B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022178834A JP7425160B2 (ja) 2015-09-04 2022-11-08 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562214902P 2015-09-04 2015-09-04
US62/214,902 2015-09-04
US14/994,425 2016-01-13
US14/994,425 US11004661B2 (en) 2015-09-04 2016-01-13 Process chamber for cyclic and selective material removal and etching
JP2017544317A JP6854768B2 (ja) 2015-09-04 2016-08-02 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017544317A Division JP6854768B2 (ja) 2015-09-04 2016-08-02 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022178834A Division JP7425160B2 (ja) 2015-09-04 2022-11-08 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Publications (2)

Publication Number Publication Date
JP2021108378A true JP2021108378A (ja) 2021-07-29
JP7175339B2 JP7175339B2 (ja) 2022-11-18

Family

ID=58188937

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017544317A Active JP6854768B2 (ja) 2015-09-04 2016-08-02 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
JP2021042869A Active JP7175339B2 (ja) 2015-09-04 2021-03-16 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
JP2022178834A Active JP7425160B2 (ja) 2015-09-04 2022-11-08 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017544317A Active JP6854768B2 (ja) 2015-09-04 2016-08-02 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022178834A Active JP7425160B2 (ja) 2015-09-04 2022-11-08 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ

Country Status (6)

Country Link
US (2) US11004661B2 (ja)
JP (3) JP6854768B2 (ja)
KR (2) KR102451502B1 (ja)
CN (1) CN107408486B (ja)
TW (3) TW202211733A (ja)
WO (1) WO2017039920A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112366128B (zh) * 2014-04-09 2024-03-08 应用材料公司 用于在处理腔室中提供对称的流动路径的流动模块
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources
KR101945378B1 (ko) 2017-06-27 2019-02-07 주식회사 포스코 합금 코팅 강판 및 이의 제조방법
CN112771645A (zh) * 2018-07-31 2021-05-07 应用材料公司 用于3d nand的氧化物/氮化物(on)堆叠覆盖改良
JP7240958B2 (ja) * 2018-09-06 2023-03-16 東京エレクトロン株式会社 プラズマ処理装置
JP7274347B2 (ja) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 プラズマ処理装置
US11199267B2 (en) 2019-08-16 2021-12-14 Applied Materials, Inc. Symmetric flow valve for higher flow conductance
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
WO2021108297A1 (en) * 2019-11-27 2021-06-03 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
KR20220103781A (ko) 2019-11-27 2022-07-22 어플라이드 머티어리얼스, 인코포레이티드 다수의 플라즈마 유닛들을 갖는 프로세싱 챔버
US11856706B2 (en) * 2019-12-03 2023-12-26 Applied Materials, Inc. Method and system for improving the operation of semiconductor processing
JP7378317B2 (ja) * 2020-02-26 2023-11-13 東京エレクトロン株式会社 プラズマ処理装置
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
KR102666611B1 (ko) * 2020-12-10 2024-05-16 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US20220350251A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Chamber and methods of treating a substrate after exposure to radiation
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02120833U (ja) * 1989-03-17 1990-09-28
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP2013541177A (ja) * 2010-08-04 2013-11-07 ラム リサーチ コーポレーション 中性/イオンフラックスの制御のための二重プラズマ空間処理装置
US20140227881A1 (en) * 2013-02-08 2014-08-14 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014196561A (ja) * 2013-03-22 2014-10-16 チャム エンジニアリング カンパニー リミテッド ライナーアセンブリ及びこれを備える基板処理装置
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
EP1198610A4 (en) 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100658356B1 (ko) 2005-07-01 2006-12-15 엘지전자 주식회사 플라즈마 디스플레이 패널의 구동장치 및 그 구동방법
US20070193575A1 (en) 2006-02-21 2007-08-23 Horng-Yi Jan Container having a heat concentration assembly securely formed on a bottom of the container
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
KR100690961B1 (ko) 2006-06-30 2007-03-09 삼성전자주식회사 이동통신단말기의 문자 입력 방법 및 그 장치
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
AT507069B1 (de) 2008-12-23 2010-02-15 Siemens Vai Metals Tech Gmbh Verfahren und vorrichtung zur kontrolle von vibrationen eines metallurgischen gefässes
US8282042B2 (en) 2009-06-22 2012-10-09 The Boeing Company Skin panel joint for improved airflow
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130007307A (ko) 2011-06-30 2013-01-18 삼성디스플레이 주식회사 유기 발광 표시 장치
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US9786471B2 (en) 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
KR20130098707A (ko) * 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
TWI604528B (zh) 2012-10-02 2017-11-01 應用材料股份有限公司 使用電漿預處理與高溫蝕刻劑沉積的方向性二氧化矽蝕刻
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9147581B2 (en) * 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
WO2015023435A1 (en) 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
USD948658S1 (en) 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02120833U (ja) * 1989-03-17 1990-09-28
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP2013541177A (ja) * 2010-08-04 2013-11-07 ラム リサーチ コーポレーション 中性/イオンフラックスの制御のための二重プラズマ空間処理装置
US20140227881A1 (en) * 2013-02-08 2014-08-14 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP2014196561A (ja) * 2013-03-22 2014-10-16 チャム エンジニアリング カンパニー リミテッド ライナーアセンブリ及びこれを備える基板処理装置
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement

Also Published As

Publication number Publication date
US11004661B2 (en) 2021-05-11
TWI751637B (zh) 2022-01-01
KR102451502B1 (ko) 2022-10-06
JP2023027054A (ja) 2023-03-01
JP6854768B2 (ja) 2021-04-07
WO2017039920A1 (en) 2017-03-09
US20170069466A1 (en) 2017-03-09
TWI704845B (zh) 2020-09-11
JP7425160B2 (ja) 2024-01-30
JP2018533192A (ja) 2018-11-08
KR20180038412A (ko) 2018-04-16
JP7175339B2 (ja) 2022-11-18
US20210217591A1 (en) 2021-07-15
TW202116116A (zh) 2021-04-16
US11728139B2 (en) 2023-08-15
KR20220138422A (ko) 2022-10-12
KR102600919B1 (ko) 2023-11-13
CN107408486B (zh) 2020-07-03
TW201722212A (zh) 2017-06-16
TW202211733A (zh) 2022-03-16
CN107408486A (zh) 2017-11-28

Similar Documents

Publication Publication Date Title
JP7425160B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
TWI739018B (zh) 包括具有多個嵌入式電極的基板支撐件的基板支撐組件、處理腔室及基板處理系統
TWI771470B (zh) 具有電浮電源供應的基板支撐件
US11049755B2 (en) Semiconductor substrate supports with embedded RF shield
JP2018082150A (ja) 改善したプロファイルを有するデュアルチャネルシャワーヘッド
KR20140029441A (ko) 멀티-주파수 중공 캐소드 및 그것을 구현한 시스템들
JP6660936B2 (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US8980046B2 (en) Semiconductor processing system with source for decoupled ion and radical control
KR20050008066A (ko) 반도체 소자 제조용 플라즈마 반응기

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210415

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210415

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220421

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220803

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221108

R150 Certificate of patent or registration of utility model

Ref document number: 7175339

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150