JP7461926B2 - 3d nandのためのon積層体オーバレイの改善 - Google Patents

3d nandのためのon積層体オーバレイの改善 Download PDF

Info

Publication number
JP7461926B2
JP7461926B2 JP2021504225A JP2021504225A JP7461926B2 JP 7461926 B2 JP7461926 B2 JP 7461926B2 JP 2021504225 A JP2021504225 A JP 2021504225A JP 2021504225 A JP2021504225 A JP 2021504225A JP 7461926 B2 JP7461926 B2 JP 7461926B2
Authority
JP
Japan
Prior art keywords
containing gas
silicon
gas
flow rate
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021504225A
Other languages
English (en)
Other versions
JP2021532589A (ja
JPWO2020028064A5 (ja
Inventor
ヨンジン リン,
ツァ-ジン グン,
正樹 尾方
ユーシェン チョー,
シンハイ ハン,
ディーネッシュ パディ,
フアン カルロス ロチャ,
アミット クマール バンサル,
ムクン スリニバサン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021532589A publication Critical patent/JP2021532589A/ja
Publication of JPWO2020028064A5 publication Critical patent/JPWO2020028064A5/ja
Application granted granted Critical
Publication of JP7461926B2 publication Critical patent/JP7461926B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND

Description

本開示の実施形態は、概して、3D NANDメモリセルの製造プロセスに関する。より詳細には、本明細書に記載の実施形態は、面内歪み(IPD:in-plane distortion)及びリソグラフィオーバレイ誤差が最小に抑えられた、酸化物/窒化物(ON:oxide/nitride)層の積層体を作製する装置及び方法に関する。
コストがより低く幾何学的形状がより小型の、大容量で高性能のコンピュータメモリデバイスへの必要性が、増大する要求により追求し続けられている。この目的のために、メモリセルの構成要素が、垂直ゲート3Dメモリセルといった3次元(3D)メモリセルを作るために、互いの上に積層される。このような技術の1つがNANDフラッシュメモリであり、一般的には、メモリカード、USBフラッシュドライブ、ソリッドステートドライブ、並びに、データの格納及び転送のための他の同様のデバイスに見うけられる。NANDフラッシュメモリでは、トランジスタからなるメモリセルが直列に接続され、垂直な層に積層されて、高密度にパッキングされた大容量のメモリデバイスが作られる。フラッシュドライブは一般に、可動部品を含まないため通常のハードドライブよりも少ない電力を使用し、より耐久性がある。従って、フラッシュドライブの容量を増やすことに大きな関心が寄せられている。
フラッシュ技術が進歩するにつれて、小規模で高容量デバイスをどのように作るかという課題が存在し続けている。このような課題の1つは、3D NANDメモリセルが、面内歪み(IPD)及びリソグラフィオーバレイ誤差が起こりやすい酸化物/窒化物(ON)層の64個以上の64の倍数の積層体を必要とすることである。従って、IPDが最小に抑えられリソグラフィオーバレイが改善されたON層の積層体を作製するための装置及び方法が、当該技術分野において必要とされている。
一実施形態において、酸化物/窒化物(ON)層の積層体を形成する方法が提供される。本方法は、処理チャンバへと基板を移送することと、基板を保持するペデスタルを堆積温度に加熱することと、第1のケイ素含有ガス流量の第1のケイ素含有ガス、酸素含有ガス流量の酸素含有ガス、及び、第1の希釈ガス流量の第1の希釈ガスを処理チャンバ内へと流すことを含む。第1の高周波(RF:radio frequency)電力が、第1のケイ素含有ガス、酸素含有ガス、及び第1の希釈ガスに対称的に印加されて、二酸化ケイ素(SiO)の第1の材料層が形成される。本方法は、第2のケイ素含有ガス流量の第2のケイ素含有ガス、窒素含有ガス流量の窒素含有ガス、及び、第2の希釈ガス流量の第2の希釈ガスを処理チャンバ内へと流すことをさらに含む。第2のRF電力が、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスに対称的に印加されて、窒化ケイ素(Si)の第2の材料層が形成される。第1の材料層と第2の材料層との所望の数の材料層対が積層体を形成するまで、第1のケイ素含有ガス、酸素含有ガス、及び、第1の希釈ガスを流すことと、第1のRF電力を対称的に印加することと、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスを流すことと、並びに、第2のRF電力を対称的に印加することが繰り返される。
他の実施形態において、酸化物/窒化物(ON)層の積層体を形成する方法が提供される。本方法は、第1の処理チャンバへと基板を移送することと、第1の処理チャンバの、基板を保持する第1のペデスタルを堆積温度に加熱することと、第1のケイ素含有ガス流量の第1のケイ素含有ガス、酸素含有ガス流量の酸素含有ガス、及び、第1の希釈ガス流量の第1の希釈ガスを第1の処理チャンバへ内と流すことを含む。第1の高周波(RF)電力が、第1のケイ素含有ガス、酸素含有ガス、及び第1の希釈ガスに対称的に印加されて、二酸化ケイ素(SiO)の第1の材料層が形成される。本方法は、第2のケイ素含有ガス流量の第2のケイ素含有ガス、窒素含有ガス流量の窒素含有ガス、及び、第2の希釈ガス流量の第2の希釈ガスを、第1の処理チャンバ内へと流すことをさらに含む。第2のRF電力が、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスに対称的に印加されて、窒化ケイ素(Si)の第2の材料層が形成される。第1の材料層と第2の材料層との所望の数の材料層対の第1の部分が積層体を形成するまで、第1のケイ素含有ガス、酸素含有ガス、及び、第1の希釈ガスを流すことと、第1のRF電力を対称的に印加することと、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスを流すことと、並びに、第2のRF電力を対称的に印加することが繰り返される。本方法は、第1の処理チャンバから第2の処理チャンバへと基板を移送することと、第2の処理チャンバの、基板を保持する第2のペデスタルを堆積温度に加熱することと、第1の材料層と第2の材料層との所望の数の材料層対の第2の部分が積層体を形成するまで、第1のケイ素含有ガス、酸素含有ガス、及び、第2の希釈ガスを流すこと、第1のRF電力を対称的に印加すること、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスを流すこと、並びに、第2のRF電力を対称的に印加すること
を繰り返すこととをさらに含む。
更なる別の実施形態において、シャワーヘッドが提供される。シャワーヘッドは、当該シャワーヘッド内に配置された対称高周波(RF:radio frequency)回路であって、90度の間隔をとって対称RF回路に接続された4つの部分を有するRF給電部によって、RF電源に接続された対称高周波(RF)回路を備える。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の一部が添付の図面に示されている。しかしながら、添付の図面は例示的な実施形態を示しているに過ぎず、したがって、その範囲を限定するものとみなされるべきではなく、本開示は他の同等に有効な実施形態を許容しうることに留意されたい。
一実施形態に係るメモリデバイスの概略的な断面図である。 一実施形態に係る処理システムの概略図である。 一実施形態に係るプラズマ化学気相堆積システムの概略的な断面図である。 一実施形態に係る処理チャンバのシャワーヘッドの概略的な断面図である。 一実施形態に係る、IPDが最小に抑えられリソグラフィオーバレイが改善されたON層の積層体を形成する方法のフロー図である。 一実施形態に係る、IPDが最小に抑えられリソグラフィオーバレイが改善されたON層の積層体を形成する方法のフロー図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すのに同一の参照番号を使用した。1の実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると想定されている。
本明細書に記載の実施形態は、面内歪み(IPD)及びリソグラフィオーバレイ誤差が最小に抑えられた、酸化物/窒化物(ON)層の積層体の作製に関する。積層体のON層を形成する方法は、第1のケイ素含有ガス、酸素含有ガス、及び、第1の希釈ガスを流すことを含む。RF電力が対称的に印加されて、SiOの第1の材料層が形成される。第2のケイ素含有ガス、窒素含有ガス、及び第2の希釈ガスが流される。第2のRF電力が対称的に印加されて、Siの第2の材料層が形成される。所望の数の第1の材料層及び第2の材料層が積層体を形成するまで、第1のケイ素含有ガス、酸素含有ガス、及び、第1の希釈ガスを流すことと、第1のRF電力を対称的に印加することと、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスを流すことと、並びに、第2のRF電力を対称的に印加することが繰り返される。
図1は、本開示の実施形態に係るメモリデバイス100の概略的な断面図である。メモリデバイス100は基板102を含み、基板102の上には、複数の第1の材料層104及び複数の第2の材料層106が設けられている。材料層対108a、108b、108c、…108nは、複数の第1の材料層104のうちの1つの第1の材料層と、複数の第2の材料層106のうちの1つの第2の材料層と、の複数の材料層対108としても知られるが、積層体110を構成する。ON積層メモリデバイスの実施形態において、各第1の材料層104は、一般に、二酸化ケイ素層(O層)であり、各第2の材料層106は、一般に、窒化ケイ素層(N層)である。図1は、第1の材料層104の上に第2の材料層106が堆積されているのを示しているが、第1の材料層104(O層)が第2の材料層106(N層)の上に堆積されるように、堆積順序を逆にしてよい。メモリデバイス100が3D NANDメモリセルである一実施形態において、メモリデバイス100は、基板102の第1の表面112の反対端に配置されたソース及びドレイン(図示せず)をさらに含む。フラッシュメモリとして使用するために、複数のNANDフラッシュセルが、一般に、ソース又はドレインを共有する隣り合うセルと直列に接続されており、各セルが、ビット線又はワード線に接続されている。動作中に、各セルは「0」又は「1」といったデータを格納することが可能である。
IPDを最小に抑えられリソグラフィオーバレイが改善されたON/OP膜の積層体を作製する方法には、比較的低温でケイ素膜を形成するために利用可能なプラズマ化学気相堆積(PECVD:plasma-enhanced chemical vapor deposition)プロセスが含まれる。本方法は、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能なPRODUCER(登録商標)プラズマCVD(PECVD)システムといった、1つ以上のツインPECVDシステムによって実施可能である。PECVDシステムは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能な処理システム200に組み込みうる。以下に記載する処理システムは、例示的な処理システムであり、他の製造業者からの処理システムを含む他の処理システムが、本開示の諸態様を実現するために使用又は変更されうると理解されたい。以下のPECVDシステムは、例示的なPECVDシステムであり、他の製造業者からのPECVDシステムを含む他のPECVDシステムが、本開示の諸態様を実現するために使用又は変更されうると理解されたい。
図2は、IPDが最小に抑えられリソグラフィオーバレイが改善されたON/OP層の積層体を作製する方法のために利用される処理システム200の概略図である。図2に示すように、一対の前面開口統一ポッド(FOUP:front opening unified pod)202が基板を供給し、基板は、ロボットアーム204によって受け取られて保持領域206内に載置され、その後、PECVDシステム208a、208の処理チャンバ214a~214d及び/又は急速熱処理(RTP:Rapid Thermal Processing)チャンバ210a、210bのうちの1つの中に載置される。第2のロボットアーム212が、保持領域206からPECVDシステム208a~208d及び/又はRTPチャンバ210a、210へと基板を搬送し、かつ逆方向に戻して基板を搬送するために利用されうる。PECVDシステム208a、208bは、ON/OP積層体を堆積させるために利用され、RTPチャンバ210a、210bは、ON/OP積層体をアニールするために利用される。
図3は、IPDが最小に抑えられリソグラフィオーバレイが改善されたON/OP層の積層体を作製する方法のために利用されるPECVDシステム208aの概略的な断面図である。図3に示すように、PECVDシステム208aは、処理チャンバ214a、214bを含み、処理チャンバ214a、214bは、例えば、共有真空ポンプ304、第1のガス源306、第2のガス源332、および第3のガス源334といったリソースを共有する。処理チャンバ214a(例えば、第1の処理チャンバ)と処理チャンバ214b(例えば、第2の処理チャンバ)とは、同様に構成されている。
各処理チャンバ214a、214bは、処理容積室307、308を有し、処理容積室307、308の中には、基板102を支持するためにペデスタル309、310が配置されている。ペデスタル309、310は、加熱要素(図示せず)と、静電チャック、真空チャック、又は基板保持クランプ等といった基板102をペデスタル309、310上で保持する仕組み(図示せず)と、を含む。ペデスタル309、310は、リフトシステム(図示せず)に接続された脚部311、312によって、処理容積室307、308に連結され、かつ処理容積室307、308内に可動に配置されており、リフトシステムは、上昇した処理位置と下降位置との間でペデスタル309、310を動かし、これにより、開口部315、316を通じた処理チャンバ214a、214bから及び処理チャンバ214a、214bへの基板102の移送が容易になる。
質量流量制御(MFC:mass flow control)装置といった流量制御装置317、318が、第1のガス源306と処理チャンバ214a、214bとの間に配置され、第1のガス源306から、処理容積室307、308全体に第1の処理ガスを分散させるために使用されるシャワーヘッド319、320への第1の処理ガスの流量を制御する。流量制御装置328、329が、第2のガス源332と処理チャンバ214a、214bとの間に配置され、第2のガス源332から、処理容積室307、308全体に第2の処理ガスを分散させるために使用されるシャワーヘッド319、320への第2の処理ガスの流量を制御する。流量制御装置330、331が、第3のガス源334と処理チャンバ214a、214bとの間に配置され、第3のガス源334から、処理容積室307、308全体に第3の処理ガスを分散させるために使用されるシャワーヘッド319、320への第3の処理ガスの流量を制御する。処理容積室307、308内の圧力を制御するために、共有真空ポンプ304と処理チャンバ214a、214bとの間にバルブ325、326を配置することが可能である。
シャワーヘッド319、320は、対称高周波(RF)回路(図4に示す)を含み、この対称高周波(RF)回路は、RF給電部323、324によって、RF電源321、322に接続されており、RF給電部323、324は、処理ガスから処理容積室307、308内で実質的に均一にプラズマを生ぜしめるための複数の部分344、345を有する。ペデスタル309、310は、底部チューナアセンブリ340、341を含む。底部チューナアセンブリ340、341は、同調回路342、343に結合された同調電極313、314を含む。同調回路342、343は、同調電極313、314のインピーダンスを変調するために利用され、処理容積室内のプラズマのさらなる制御をもたらす。例えば、同調電極313、314のインピーダンスを変調することで、基板102へのプラズマ衝撃が増大する。
図4には、処理チャンバ214aのシャワーヘッド319の上面断面図が示されている。シャワーヘッド319には、RF給電部323に結合された対称RF回路402が配置されている。複数の部分344の各部分が、対称RF回路402へのRF電力の供給が対称的になるように、対称RF回路402に接続されている。例えば、RF給電部323は、90度の間隔をとって対称RF回路402に接続された4つの部分を有する。対称RF回路402へのRF電力の対称的な供給によって、処理容積室307内で実質的に均一にプラズマを生ぜしめることが可能となる。対称RF回路402は対称的であり、従って、処理容積室307内のプラズマが実質的に均一であり、ON層の積層体のIPDが低減される。
図5は、IPDが最小に抑えられ、リソグラフィオーバレイが改善されたON層の積層体を形成する方法500のフロー図である。動作501において、基板102が、PECVDシステム208aの処理チャンバ214aに移送される。一実施形態において、第2のロボットアーム212が、処理システム200の保持領域206から、PECVDシステム208aの処理チャンバ214aへと基板102を移送する。動作502において、ペデスタル309が、約500℃~約600℃の堆積温度に加熱される。動作503において、二酸化ケイ素(SiO)の第1の材料層104が堆積させられる。動作503の間、第1のケイ素含有ガスが第1のケイ素含有ガス流量で、酸素含有ガスが酸素含有ガス流量で、及び、第1の希釈ガスが第1の希釈ガス流量で、処理チャンバ214aへと届けられる。
一実施形態において、第1のガス源306と処理チャンバ214aとの間に配置された流量制御装置317が、シャワーヘッド319に供給される第1のケイ素含有ガスの第1のケイ素含有ガス流量を制御し、シャワーヘッド319が、第1のケイ素含有ガスを、処理チャンバ214aの処理容積室307全体に分散させる。第1ケイ素含有ガスは、シラン(SiH)、オルトケイ酸テトラエチル(TEOS)、及びジシラン(Si)のうち少なくとも1つを含みうる。他の実施形態において、第2のガス源332と処理チャンバ214aとの間に配置された流量制御装置328が、シャワーヘッド319に供給される酸素含有ガスの酸素含有ガス流量を制御し、シャワーヘッド319が、酸素含有ガスを、処理チャンバ214aの処理容積室307全体に分散させる。酸素含有ガスは、亜酸化窒素(NO)、酸素ガス(O)、及び三酸素(O)のうち少なくとも一つを含みうる。更に別の実施形態において、第3のガス源334と処理チャンバ214aとの間に配置された流量制御装置330が、シャワーヘッド319に供給される第1の希釈ガスの第1の希釈ガス流量を制御し、シャワーヘッド319が、第1の希釈ガスを、処理チャンバ214aの処理容積室307全体に分散させる。第1希釈ガスは、窒素ガス(N)、アルゴン(Ar)、ヘルム(He)のうち少なくとも1つを含みうる。いくつかの実施形態において、第1のケイ素含有ガス流量は、約600sccm(standard cubic centimeters per minute、標準立方センチメートル/分)~約5000sccmであり、酸素含有ガス流量は、約500sccm~約15000sccmであり、第1の希釈ガス流量は、約100sccm~約20000sccmである。
SiOの第1の材料層104の堆積中に、第1のRF電力が、第1のケイ素含有ガス、酸素含有ガス、及び、第1の希釈ガスに印加される。一実施形態において、シャワーヘッド319内には、複数の部分344を有するRF給電部323によってRF電源321に接続された対称RF回路402が配置されており、第1のRF電力の対称的な印加が可能となる。複数の部分の各部分は、対称RF回路402へのRF電力の供給が対称的になるように、対称RF回路402に接続されている。第1のRF電力の対称的な印加によって、残留均一性が改善されたSiOの第1の材料層104の堆積が可能となり、ON層の積層体110のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。一実施形態において、ON層の積層体110のIPDが10パーセント低減される。
一実施形態において、第1の材料層104が、堆積後処理プロセスを受ける。任意の動作504において、SiOの第1の材料層104の堆積後に、第1のケイ素含有ガスの流れが停止され、かつ、酸素含有ガスの流れ及び第1の希釈ガスの流れが継続される。第1のケイ素含有ガスの流れが停止され、酸素含有ガスの流れ及び第1の希釈ガスの流れが継続されて、プラズマが安定する。底部チューナアセンブリ340の同調回路342を利用して、同調電極313のインピーダンスが変調され、基板102に対する処理容積室307内の残留プラズマの衝撃が増大する。基板102への処理容積室307内の残留プラズマの衝撃を増大させることで、第1の材料層104の応力の不均一性が低減される。第1の材料層104の応力の不均一性を低減することによって、ON層の積層体110のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。
動作505において、窒化ケイ素(Si)の第2の材料層106が堆積させられる。動作505の間に、第2のケイ素含有ガスが第2のケイ素含有ガス流量で、窒素含有ガスが窒素含有ガス流量で、及び、第2の希釈ガスが第1の希釈ガス流量で、処理チャンバ214aに届けられる。
一実施形態において、第1のガス源306と処理チャンバ214aとの間に配置された流量制御装置317が、シャワーヘッド319に供給される第2のケイ素含有ガスの第2のケイ素含有ガス流量を制御し、シャワーヘッド319が、第2のケイ素含有ガスを、処理チャンバ214aの処理容積室307全体に分散させる。第2のケイ素含有ガスは、SiH及びSiの少なくとも1つを含みうる。他の実施形態において、第2のガス源332と処理チャンバ214aとの間に配置された流量制御装置328が、シャワーヘッド319に供給される窒素含有ガスの窒素含有ガス流量を制御し、シャワーヘッド319が、窒素含有ガスを、処理チャンバ214aの処理容積室307全体に分散させる。窒素含有ガスは、アンモニア(NH)及びNの少なくとも1つを含みうる。更に別の実施形態において、第3のガス源334と処理チャンバ214aとの間に配置された流量制御装置330が、シャワーヘッド319に供給される第2の希釈ガスの第2の希釈ガス流量を制御し、シャワーヘッド319が、第2の希釈ガスを、処理チャンバ214aの処理容積室307全体に分散させる。第2の希釈ガスは、N、Ar、及びHeの少なくとも1つを含みうる。第2の希釈ガス流量は、Siの第2の材料層106の残留均一性を改善してIPDを50パーセント低減するよう選択されている。一実施形態において、第2の希釈ガスがNであり、第2の希釈ガス流量が約2000sccmより大きい。N又はArの流量を増やすことで、堆積中のプラズマの均一性が改善され、IPDが低減されうる。幾つかの実施形態において、第2のケイ素含有ガス流量は、約30sccm~約300sccmであり、窒素含有ガス流量は、約200sccm~約7000sccmであり、第1の希釈ガス流量は、約500sccm~約3000sccmである。
Siの第2の材料層106の堆積中に、第2のRF電力が、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスに印加される。一実施形態において、シャワーヘッド319内には、RF給電部323によってRF電源321に接続された対称RF回路402が配置されており、第2のRF電力の対称的な印加が可能となる。第2のRF電力の対称的な印加によって、残留均一性が改善されたSiの第2の材料層106の堆積が可能となり、ON層の積層体110のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。
一実施形態において、第2の材料層106が、堆積後処理プロセスを受ける。任意の動作506において、Siの第2の材料層106の堆積後に、第2のケイ素含有ガスの流れが停止され、かつ、窒素含有ガスの流れ及び第2の希釈ガスの流れが継続される。第2のケイ素含有ガスの流れが停止され、窒素含有ガスの流れ及び第2の希釈ガスの流れが継続されて、プラズマが安定する。底部チューナアセンブリ340の同調回路342を利用して、同調電極313のインピーダンスが変調され、基板102に対する処理容積室307内の残留プラズマの衝撃が増大する。基板102への処理容積室307内の残留プラズマの衝撃を増大させることで、第1の材料層104の応力の不均一性が低減される。第1の材料層104の応力の不均一性を低減することによって、ON層の積層体110のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。
動作507において、SiOの第1の材料層104と、Siの第2の材料層106と、の所望の数の材料層対108が積層体110を形成するまで、動作503、任意の動作504、動作505、及び、任意の動作506が繰り返される。一実施形態において、64個の材料層対108が積層体110を構成する。
動作508において、基板102が、RTPチャンバ210aに移送される。一実施形態において、第2のロボットアーム212が、PECVDシステム208aの処理チャンバ214aから処理システム200のRTPチャンバ210aへと、基板102を移送する。動作509において、積層体110が、約800℃を超えるアニーリング温度でアニールされる。約800℃を超えるアニーリング温度でアニールすることで、応力緩和によりON層の積層体110の残留均一性が改善され、IPDが最小に抑えられ、リソグラフィオーバレイが改善される。一実施形態において、ON層の積層体110のIPDが50パーセント低減される。
図6は、IPDが最小に抑えられリソグラフィオーバレイが改善されたON層の積層体を作製する方法600のフロー図である。動作601において、基板102が、PECVDシステム208aの処理チャンバ214aに移送される。動作602において、ペデスタル309が、約550℃~約650℃の堆積温度に加熱される。動作603において、二酸化ケイ素(SiO)の第1の材料層104が堆積させられる。動作603の間、第1のケイ素含有ガスが第1のケイ素含有ガス流量で、酸素含有ガスが酸素含有ガス流量で、及び、第1の希釈ガスが第1の希釈ガス流量で、処理チャンバ214aへと届けられる。SiOの第1の材料層104の堆積中に、第1のRF電力が、第1のケイ素含有ガス、酸素含有ガス、及び、第1の希釈ガスに対称的に印加される。第1のRF電力の対称的な印加によって、残留均一性が改善されたSiOの第1の材料層104の堆積が可能となり、ON層の積層体110のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。
動作604において、窒化ケイ素(Si)の第2の材料層106が堆積させられる。動作604の間に、第2のケイ素含有ガスが第2のケイ素含有ガス流量で、窒素含有ガスが窒素含有ガス流量で、及び、第2の希釈ガスが第1の希釈ガス流量で、処理チャンバ214aに届けられる。第2の希釈ガス流量は、Siの第2の材料層106の残留均一性を改善してIPDを50パーセント低減するよう選択されている。一実施形態において、第2の希釈ガスがN2であり、第2の希釈ガス流量が約2000sccmより大きい。Siの第2の材料層106の堆積中に、第2のRF電力が、第2のケイ素含有ガス、窒素含有ガス、及び、第2の希釈ガスに対称的に印加される。第2のRF電力の対称的な印加によって、残留均一性が改善されたSiの第2の材料層106の堆積が可能となり、ON層の積層体110のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。
動作605において、SiOの第1の材料層104と、Siの第2の材料層106と、の所望の数の材料層対108の第1の部分が積層体110を形成するまで、SiOの第1の材料層104を堆積させる動作603と、Siの第2の材料層106を堆積させる動作604と、が繰り返される。一実施形態において、64個の材料層対108が積層体110を構成し、所望の数の材料層対108の第1の部分は、32個の材料層対108である。他の実施形態において、基板102が、所望の数の材料層対108の第1の部分の半分が堆積された後で180°回転される。基板102を回転させることで、処理チャンバ214a内での不均一性が考慮され、積層体110のIPDが低減される。動作606において、基板102が、PECVDシステム208aの処理チャンバ214bに移送される。一実施形態において、第2のロボットアーム212が、PECVDシステム208aの処理チャンバ214aから処理システム208aの処理チャンバ214bへと、基板102を移送する。
動作607において、ペデスタル310が、約550℃~約650℃の堆積温度に加熱される。ON層の積層体を形成する方法600の間に基板102を移送することで、リソグラフィオーバレイを改善するための、処理チャンバ214a~214dの各ペデスタル上で形成される積層体110のIPDの一致を改善することが可能となる。例えば、処理チャンバ214a内で形成されるON層の積層体110のIPDは、処理チャンバ214b内で形成されるON層の積層体110のIPDと異なりうる。ON層の積層体を形成する方法600の間に基板102を移送することで、処理チャンバ214aと処理チャンバ214bのIPDの変動が平均化され、各処理チャンバ内に形成される積層体110のIPDが一致する。
動作608において、SiOの第1の材料層104と、Siの第2の材料層106と、の所望の数の材料層対108の第2の部分が積層体110を形成するまで、SiOの第1の材料層104を堆積させる動作603と、Siの第2の材料層106を堆積させる動作604と、が繰り返される。一実施形態において、64個の材料層対108が積層体110を構成し、所望の数の材料層対108の第2の部分は、32個の材料層対108である。他の実施形態において、基板102が、所望の数の材料層対108の第2の部分の半分が堆積された後で180°回転させられる。基板102を回転させることで、処理チャンバ214b内の不均一性が考慮され、積層体110のIPDが低減される。動作609において、基板102が、RTPチャンバ210aに移送される。一実施形態において、第2のロボットアーム212が、PECVDシステム208aの処理チャンバ214bから処理システム200のRTPチャンバ210aへと、基板102を移送する。動作610において、積層体110が、約800℃を超えるアニーリング温度でアニールされる。約800℃を超えるアニーリング温度でアニールすることで、応力緩和によりON層の積層体110の残留均一性が改善され、IPDが最小に抑えられ、リソグラフィオーバレイが改善される。一実施形態において、ON層の積層体110のIPDが50パーセント低減される。
以上、本明細書では、IPDが最小に抑えられリソグラフィオーバレイが改善されたON層の積層体を作製する方法、及び、実質的に均一なプラズマを形成するよう構成されたシャワーヘッドを製造する方法について説明した。SiOの第1の材料層、及び、Siの第2の材料層の堆積中に、RF電力が、シャワーヘッドによって、第1のケイ素含有ガス、酸素含有ガス、及び第1の希釈ガスに対称的に印加される。RF電力の対称的な印加によって、残留均一性が改善されたSiOの第1の材料層及びSiの第2の材料層の堆積が可能となり、ON層の積層体のIPDが最小に抑えられ、リソグラフィオーバレイが改善される。第2の希釈ガス流量が、Siの第2の材料層の残留均一性を改善してIPDを低減するよう選択される。基板を移送することで、処理チャンバの各ペデスタル上で形成される層積層のIPDの差異を解消することが可能となり、リソグラフィオーバレイが改善される。約800℃を超えるアニーリング温度でアニールすることで、応力緩和によりON層の積層体の残留均一性が改善され、IPDが最小に抑えられ、リソグラフィオーバレイが改善される。
以上の記述は、本開示の実施例を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施例及び更なる実施例を考案することが可能であり、本開示の範囲が、以下の特許請求の範囲によって定められる。

Claims (14)

  1. 酸化物/窒化物(ON)層の積層体を形成する方法であって、
    処理チャンバへと基板を移送することと、
    前記基板を保持するペデスタルを堆積温度に加熱することと、
    第1のケイ素含有ガス流量の第1のケイ素含有ガス、酸素含有ガス流量の酸素含有ガス、及び、第1の希釈ガス流量の第1の希釈ガスを前記処理チャンバ内へと流すことと、
    前記第1のケイ素含有ガス、前記酸素含有ガス、及び、前記第1の希釈ガスに対して、第1の高周波(RF:radio frequency)電力を対称的に印加して、二酸化ケイ素(SiO の第1の材料層を形成することと、
    SiO の前記第1の材料層の形成後に、前記第1のケイ素含有ガスの流れを停止させ、かつ、前記第1のRF電力を対称的に印加しながら前記酸素含有ガスの流れ及び前記第1の希釈ガスの流れを継続させることと、
    第2のケイ素含有ガス流量の第2のケイ素含有ガス、窒素含有ガス流量の窒素含有ガス、及び、第2の希釈ガス流量の第2の希釈ガスを前記処理チャンバ内へと流すことと、
    前記第2のケイ素含有ガス、前記窒素含有ガス、及び、前記第2の希釈ガスに対して、第2のRF電力を対称的に印加して、窒化ケイ素(Si の第2の材料層を形成することと、
    Si の前記第2の材料層の形成後に、前記第2のケイ素含有ガスの流れを停止させ、かつ、前記第2のRF電力を対称的に印加しながら前記窒素含有ガスの流れ及び前記第2の希釈ガスの流れを継続させることと、
    前記第1の材料層と前記第2の材料層との所望の数の材料層対が積層体を形成するまで、
    前記第1のケイ素含有ガス、前記酸素含有ガス、及び、前記第1の希釈ガスを流すこと、
    前記第1のRF電力を対称的に印加すること、
    前記第2のケイ素含有ガス、前記窒素含有ガス、及び、前記第2の希釈ガスを流すこと、並びに、
    前記第2のRF電力を対称的に印加すること
    を繰り返すことと
    を含む、方法。
  2. 前記処理チャンバから急速熱処理(RTP:Rapid Thermal Processing)チャンバへと前記基板を移送することと、
    00を超えるアニーリング温度でアニールすること
    をさらに含む、請求項1に記載の方法。
  3. 前記堆積温度が、500℃~650℃である、請求項1に記載の方法。
  4. 前記第1のケイ素含有ガスが、シラン、オルトケイ酸テトラエチル、及び、ジシランのうちの少なくとも1つを含み、前記酸素含有ガスが、亜酸化窒素、酸素ガス、及び、三酸素のうちの少なくとも1つを含み、前記第1の希釈ガスが、窒素ガス、アルゴン、及び、ヘリウムのうちの少なくとも1つを含む、請求項1に記載の方法。
  5. 前記第2のケイ素含有ガスは、シラン及びジシランのうちの少なくとも1つを含み、前記窒素含有ガスは、アンモニア及び窒素ガスのうちの少なくとも1つを含み、前記第2の希釈ガスは、窒素ガス、アルゴン、及びヘリウムのうちの少なくとも1つを含む、請求項1に記載の方法。
  6. 前記第1のケイ素含有ガス流量は、600sccm(標準立方センチメートル/分)~5000sccmであり、前記酸素含有ガス流量は、500sccm~15000sccmであり、前記第1の希釈ガス流量は、100sccm~20000sccmである、請求項1に記載の方法。
  7. 前記第2のケイ素含有ガス流量は、30sccm~300sccmであり、前記窒素含有ガス流量は、20sccm~7000sccmであり、前記第2の希釈ガス流量は、500sccm~3000sccmである、請求項1に記載の方法。
  8. 酸化物/窒化物(ON)層の積層体を形成する方法であって、
    第1の処理チャンバへと基板を移送することと、
    前記第1の処理チャンバの、前記基板を保持する第1のペデスタルを堆積温度に加熱することと、
    第1のケイ素含有ガス流量の第1のケイ素含有ガス、酸素含有ガス流量の酸素含有ガス、及び、第1の希釈ガス流量の第1の希釈ガスを前記第1の処理チャンバ内へと流すことと、
    前記第1のケイ素含有ガス、前記酸素含有ガス、及び、前記第1の希釈ガスに対して、第1の高周波(RF:radio frequency)電力を対称的に印加して、二酸化ケイ素(SiO の第1の材料層を形成することと、
    第2のケイ素含有ガス流量の第2のケイ素含有ガス、窒素含有ガス流量の窒素含有ガス、及び、第2の希釈ガス流量の第2の希釈ガスを前記第1の処理チャンバ内へと流すことと、
    前記第2のケイ素含有ガス、前記窒素含有ガス、及び、前記第2の希釈ガスに対して、第2のRF電力を対称的に印加して、窒化ケイ素(Si の第2の材料層を形成することと、
    前記第1の材料層と前記第2の材料層との所望の数の材料層対の第1の部分が積層体を形成するまで、
    前記第1のケイ素含有ガス、前記酸素含有ガス、及び、前記第1の希釈ガスを流すこと、
    前記第1のRF電力を対称的に印加すること、
    前記第2のケイ素含有ガス、前記窒素含有ガス、及び、前記第2の希釈ガスを流すこと、並びに、
    前記第2のRF電力を対称的に印加すること
    を繰り返すことと、
    前記第1の処理チャンバから第2の処理チャンバへと前記基板を移送することと、
    前記第2の処理チャンバの、前記基板を保持する第2のペデスタルを前記堆積温度に加熱することと、
    前記第1の材料層と前記第2の材料層との前記所望の数の前記材料層対の第2の部分が前記積層体を形成するまで、
    前記第1のケイ素含有ガス、前記酸素含有ガス、及び、前記第1の希釈ガスを流すこと、
    前記第1のRF電力を対称的に印加すること、
    前記第2のケイ素含有ガス、前記窒素含有ガス、及び、前記第2の希釈ガスを流すこと、並びに、
    前記第2のRF電力を対称的に印加すること
    を繰り返すことと
    を含む、方法。
  9. 前記第2の処理チャンバから急速熱処理(RTP:Rapid Thermal Processing)チャンバへと前記基板を移送することと、
    00°Cを超えるアニーリング温度でアニールすること
    をさらに含む、請求項8に記載の方法。
  10. 前記第1のケイ素含有ガスが、シラン、オルトケイ酸テトラエチル、及び、ジシランのうちの少なくとも1つを含み、前記酸素含有ガスが、亜酸化窒素、酸素ガス、及び、三酸素のうちの少なくとも1つを含み、前記第1の希釈ガスが、窒素ガス、アルゴン、及びヘリウムのうちの少なくとも1つを含む、請求項8に記載の方法。
  11. 前記第2のケイ素含有ガスは、シラン及びジシランのうちの少なくとも1つを含み、前記窒素含有ガスは、アンモニア及び窒素ガスのうちの少なくとも1つを含み、前記第2の希釈ガスは、窒素ガス、アルゴン、及びヘリウムのうちの少なくとも1つを含む、請求項8に記載の方法。
  12. 前記第1のケイ素含有ガス流量は、600sccm~5000sccmであり、前記酸素含有ガス流量は、500sccm~15000sccmであり、前記第1の希釈ガス流量は、100sccm~20000sccmである、請求項8に記載の方法。
  13. 前記第2のケイ素含有ガス流量は、30sccm~300sccmであり、前記窒素含有ガス流量は、200sccm~7000sccmであり、前記第2の希釈ガス流量は、500sccm~3000sccmである、請求項8に記載の方法。
  14. 前記第2の希釈ガスがNであり、前記第2の希釈ガス流量が2000sccmより大きい、請求項8に記載の方法。
JP2021504225A 2018-07-31 2019-07-18 3d nandのためのon積層体オーバレイの改善 Active JP7461926B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862712729P 2018-07-31 2018-07-31
US62/712,729 2018-07-31
PCT/US2019/042489 WO2020028064A1 (en) 2018-07-31 2019-07-18 On stack overlay improvement for 3d nand

Publications (3)

Publication Number Publication Date
JP2021532589A JP2021532589A (ja) 2021-11-25
JPWO2020028064A5 JPWO2020028064A5 (ja) 2022-07-28
JP7461926B2 true JP7461926B2 (ja) 2024-04-04

Family

ID=69228917

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021504225A Active JP7461926B2 (ja) 2018-07-31 2019-07-18 3d nandのためのon積層体オーバレイの改善

Country Status (7)

Country Link
US (1) US11276569B2 (ja)
JP (1) JP7461926B2 (ja)
KR (1) KR20210027386A (ja)
CN (1) CN112771645A (ja)
SG (1) SG11202010548YA (ja)
TW (1) TW202029275A (ja)
WO (1) WO2020028064A1 (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012089694A (ja) 2010-10-20 2012-05-10 Sumitomo Electric Ind Ltd 2層rf構造のウエハ保持体
JP2012204694A (ja) 2011-03-25 2012-10-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20130171834A1 (en) 2010-03-25 2013-07-04 Jason Haverkamp In-situ deposition of film stacks
JP2014505349A (ja) 2010-10-06 2014-02-27 ユ−ジーン テクノロジー カンパニー.リミテッド 半円形状のアンテナを備える基板処理装置
JP2016149537A (ja) 2015-01-29 2016-08-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 3dデバイスを製造するための方法及び前駆体
JP2016197719A (ja) 2015-04-01 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d nandメモリデバイスにおける垂直エッチング性能の改善のための、膜のプラズマ化学気相堆積

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368988B1 (en) 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
WO2010079509A2 (en) 2008-12-05 2010-07-15 Pata V Murali Krishna Perfect gait shoe
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8076250B1 (en) 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
US20130220410A1 (en) * 2011-09-07 2013-08-29 Air Products And Chemicals, Inc. Precursors for Photovoltaic Passivation
TWI584383B (zh) * 2011-12-27 2017-05-21 半導體能源研究所股份有限公司 半導體裝置及其製造方法
JP2014011047A (ja) 2012-06-29 2014-01-20 Canon Components Inc シールドケーブル、その製造方法および無線通信モジュール
US9449794B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
US10231580B2 (en) 2014-07-07 2019-03-19 GPCP IP Holdings LLC. Multiple orifice nozzle with cavity
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130171834A1 (en) 2010-03-25 2013-07-04 Jason Haverkamp In-situ deposition of film stacks
JP2014505349A (ja) 2010-10-06 2014-02-27 ユ−ジーン テクノロジー カンパニー.リミテッド 半円形状のアンテナを備える基板処理装置
JP2012089694A (ja) 2010-10-20 2012-05-10 Sumitomo Electric Ind Ltd 2層rf構造のウエハ保持体
JP2012204694A (ja) 2011-03-25 2012-10-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2016149537A (ja) 2015-01-29 2016-08-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 3dデバイスを製造するための方法及び前駆体
JP2016197719A (ja) 2015-04-01 2016-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d nandメモリデバイスにおける垂直エッチング性能の改善のための、膜のプラズマ化学気相堆積

Also Published As

Publication number Publication date
TW202029275A (zh) 2020-08-01
US20200043723A1 (en) 2020-02-06
JP2021532589A (ja) 2021-11-25
CN112771645A (zh) 2021-05-07
WO2020028064A1 (en) 2020-02-06
KR20210027386A (ko) 2021-03-10
US11276569B2 (en) 2022-03-15
SG11202010548YA (en) 2021-02-25

Similar Documents

Publication Publication Date Title
JP7447004B2 (ja) 窒化ケイ素の薄膜のための処理方法
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
KR100469126B1 (ko) 수소 함유량이 적은 박막 형성방법
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
JP5808814B2 (ja) 3dメモリに適用するpecvd酸化物−窒化物スタック及び酸化物−シリコンスタック
JP6652644B2 (ja) プラズマ生成装置、基板処理装置、半導体装置の製造方法及びプログラム
US8895415B1 (en) Tensile stressed doped amorphous silicon
US20160260602A1 (en) Adhesion improvements for oxide-silicon stack
US9966255B2 (en) Method of densifying films in semiconductor device
CN110088875B (zh) 无成核的间隙填充ald工艺
KR20200029994A (ko) 기판 처리 장치, 기판 처리 장치의 전극 및 반도체 장치의 제조 방법
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
TWI791508B (zh) 用於沉積低介電常數膜的方法與設備
WO2020079903A1 (ja) 窒化膜の成膜方法および半導体装置の製造方法
US20240003010A1 (en) Backside deposition and local stress modulation for wafer bow compensation
JP7461926B2 (ja) 3d nandのためのon積層体オーバレイの改善
KR102414099B1 (ko) 기판 처리 시스템 및 이를 이용한 박막 증착 방법
US20180350596A1 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
TWI773910B (zh) 具有氣體分佈及個別泵送的批次固化腔室
US20230416909A1 (en) Method for formation of conformal ald sio2 films
WO2022201242A1 (ja) 電極、基板処理装置、半導体装置の製造方法およびプログラム
TWI837045B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TW202326864A (zh) 基板處理裝置、電漿生成裝置、半導體裝置之製造方法及程式
TW202329243A (zh) 成膜方法,半導體裝置的製造方法,成膜裝置及程式
WO2020053996A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220720

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240227

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240325

R150 Certificate of patent or registration of utility model

Ref document number: 7461926

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150