TW202225444A - 基板處理方法及基板處理系統 - Google Patents

基板處理方法及基板處理系統 Download PDF

Info

Publication number
TW202225444A
TW202225444A TW110141129A TW110141129A TW202225444A TW 202225444 A TW202225444 A TW 202225444A TW 110141129 A TW110141129 A TW 110141129A TW 110141129 A TW110141129 A TW 110141129A TW 202225444 A TW202225444 A TW 202225444A
Authority
TW
Taiwan
Prior art keywords
film
substrate
chamber
mentioned
substrate processing
Prior art date
Application number
TW110141129A
Other languages
English (en)
Inventor
熊谷圭恵
須田隆太郎
戸村幕樹
大内健次
村上博紀
加賀谷宗仁
酒井宗一朗
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202225444A publication Critical patent/TW202225444A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明之課題在於抑制半導體圖案之形狀異常。 本發明之基板處理方法包含:工序a),其係將具有形成有凹部之第1膜及遮罩之基板搬入第1腔室內;工序b),其係使基板之溫度變為200℃以上;工序c-1),其係向第1腔室內供給含有矽之反應物種,而使含有矽之反應物種吸附於凹部之側壁;工序c-2),其係向第1腔室內供給含有氮之反應物種,於凹部之側壁形成作為第2膜之氮化矽膜;工序d),其係將基板搬入第2腔室內;工序e),其係使基板之溫度變為100℃以下;及工序f),其係對凹部之底部進行蝕刻。又,第2膜之膜厚為20 nm以下,凹部之底部之膜厚相對於凹部之上部側壁之膜厚的比為0.7以上。又,將a)~f)依序反覆執行,直至自遮罩之開口部至凹部之底部之深度尺寸相對於遮罩之開口尺寸的比變為50以上為止。

Description

基板處理方法及基板處理系統
本發明之各種態樣及實施方式係關於一種基板處理方法及基板處理系統。
半導體裝置之集成不僅於水平方向上進行,於垂直方向上亦會進行,伴隨於此,在半導體裝置之製造過程中形成之圖案之深寬比亦較大。例如,於3D(三維)NAND(Not-And,反及)之製造中,於貫通多個金屬配線層之方向形成通道孔。於形成64層記憶胞之情形時,通道孔之深寬比亦變為45。
為了高精度地形成高深寬比之圖案,提出有各種方法。例如,提出有一種方法,即,藉由在形成於基板之介電體材料之開口反覆執行蝕刻與成膜,而抑制朝橫向之蝕刻(專利文獻1)。又,提出有一種方法,即,將蝕刻與成膜組合,而形成用於防止介電體層之朝橫向之蝕刻之保護膜(專利文獻2)。 [先前技術文獻] [專利文獻]
[專利文獻1]美國專利申請公開第2016/0343580號說明書 [專利文獻2]美國專利申請公開第2018/0174858號說明書
[發明所欲解決之問題]
本發明提供一種能抑制半導體圖案之形狀異常之技術。 [解決問題之技術手段]
本發明之一態樣係一種基板處理方法,其包含工序a)、工序b)、工序c)、工序d)、工序e)及工序f)。於工序a)中,將具有第1膜及遮罩之基板搬入第1腔室內,上述第1膜為處理對象且形成有凹部,上述遮罩設置於第1膜上且在與凹部對應之位置形成有開口。於工序b)中,將基板之溫度調整為200℃以上。於工序c)中,在凹部之側壁形成作為第2膜之氮化矽膜。於工序d)中,將基板搬入與第1腔室不同之第2腔室內。於工序e)中,將基板之溫度調整為100℃以下。於工序f)中,對凹部之底部進行蝕刻。又,工序c)包含工序c-1)及工序c-2)。於工序c-1)中,藉由向第1腔室內供給含有矽之反應物種,而使含有矽之反應物種吸附於凹部之側壁。於工序c-2)中,向第1腔室內供給含有氮之反應物種,使吸附於凹部之側壁之含有矽之反應物種與含有氮之反應物種反應,藉此於凹部之側壁形成第2膜。又,第2膜之膜厚為20 nm以下,凹部之底部之膜厚相對於凹部之上部側壁之膜厚的比為0.7以上。又,將a)~f)依序反覆執行2次以上,直至自遮罩之開口部至凹部之底部為止之深度尺寸相對於遮罩之開口尺寸的比即第1深寬比變為50以上為止。 [發明之效果]
根據本發明之各種態樣及實施方式,能抑制半導體圖案之形狀異常。
以下,基於圖式對所揭示之基板處理方法及基板處理系統之實施方式詳細地進行說明。再者,並非藉由以下實施方式對所揭示之基板處理方法及基板處理系統進行限定。又,各實施方式能夠於處理內容不相互矛盾之範圍內適當組合。
然,已知對高深寬比之圖案進行蝕刻時會產生形狀異常。例如,當沿縱向形成開口時,有時會產生內周面沿橫向膨脹之形狀異常。此種形狀異常被稱為彎曲。為了抑制形狀異常之產生,提出有一種於開口側壁形成保護膜之方法。形成微細之圖案時,理想的是進而防止保護膜所造成之開口封閉、或因向開口底部成膜而導致之蝕刻速率下降等。
再者,於以下說明中,「圖案」係指形成於基板上之形狀整體。圖案例如指孔、溝槽、線與間隙等形成於基板上之複數個形狀全體。又,「凹部」係指形成於基板上之圖案中沿基板之厚度方向凹陷之形狀之部分。又,凹部具有凹陷之形狀之內周面即「側壁」、凹陷之形狀之底部分即「底部」、以及與側壁連續之側壁附近之基板表面即「頂部」。又,頂部所包圍之空間稱為「開口」。再者,「開口」這一用語亦用於指由凹部之底部及側壁包圍之空間整體或空間之任意位置。凹部係半導體圖案之一例。
(第1實施方式) [基板處理方法] 圖1係表示本發明之第1實施方式之基板處理方法之一例的流程圖。圖1所例示之處理係由以例如圖4於下文中敍述之基板處理系統1來執行。再者,以下,一面參照圖2,一面對圖1所例示之處理進行說明。
首先,將成為處理對象之基板W搬入下述蝕刻裝置12(S10)。於步驟S10中,如例如圖2(A)所示,將於成為蝕刻對象之膜EL上積層有形成有預先規定之圖案之遮罩MA的基板W搬入蝕刻裝置12內。膜EL係第1膜之一例。又,蝕刻裝置12係第2腔室之一例。於本實施方式中,膜EL係具有氧化矽膜及氮化矽膜之多層膜。又,膜EL亦可為含有矽之膜或有機膜。作為含有矽之膜,例如可例舉:氮化矽膜、多晶矽膜、含有碳之矽膜、或矽鍺膜等。又,含有矽之膜亦可為包含多晶矽膜、氧化矽膜及氮化矽膜中之至少2個之積層膜。
其次,將基板W之溫度調整為100℃以下之預先規定之溫度(S11)。於步驟S11中,將基板W之溫度調整為例如0℃。
繼而,沿著遮罩MA之形狀,於膜EL之厚度方向上對膜EL進行蝕刻(S12)。藉此,於膜EL沿著遮罩MA之開口形成如例如圖2(B)般之凹部。
繼而,藉由基板處理系統1之控制裝置,判定步驟S12之處理是否已執行預先規定之時間(S13)。於步驟S12之處理未執行預先規定之時間之情形時(S13:否(No)),再次執行步驟S12所示之處理。若步驟S12之執行時間變長,則不僅凹部之深度會增加,亦會於凹部之側壁產生彎曲等形狀異常。步驟S13中之預先規定之時間係指在形成於膜EL之凹部之側壁不會產生彎曲等形狀異常之範圍之時間。
另一方面,於步驟S12之處理已執行預先規定之時間之情形時(S13:是(Yes)),將基板W自蝕刻裝置12搬出。然後,將基板W搬入下述成膜裝置14內(S14)。成膜裝置14係第1腔室之一例。又,步驟S14係工序a)及工序h)之一例。
繼而,將基板W之溫度調整為200℃以上之預先規定之溫度(S15)。於步驟S15中,將基板W之溫度調整為例如300℃。步驟S15係工序b)及工序i)之一例。
繼而,於凹部之表面形成保護膜(S16)。於本實施方式中,保護膜例如為氮化矽膜。保護膜係第2膜之一例。又,步驟S16係工序c)及工序j)之一例。
於步驟S16中,首先,向基板W之表面供給含有矽之反應物種(前驅物)之氣體(S160)。步驟S160係工序c-1)之一例。藉此,前驅物之分子吸附於基板W之表面。作為前驅物之氣體,可使用胺基矽烷系氣體,例如SiCl 4、二氯矽烷(DCS)或六氯乙矽烷(HCDS)等氣體。
再者,於開始步驟S160之前,亦可進行藉由在成膜裝置14內將包含氮及氫之氣體電漿化,而利用胺基將基板W之表面封端之處理。藉此,能更高效率地使前驅物之分子吸附於基板W之表面。利用胺基將基板W之表面封端之處理係工序c-0)之一例。
其次,利用沖洗氣體對基板W之表面進行沖洗(S161)。藉此,將過剩地吸附於基板W表面之前驅物之分子去除。作為沖洗氣體,例如可使用氬氣等稀有氣體、或氮氣等惰性氣體。
繼而,向基板W之表面供給含有氮之反應物種之氣體(反應氣體)(S162)。步驟S162係工序c-2)之一例。藉此,吸附於基板W之表面之前驅物之分子氮化,而於基板W之表面形成保護膜。作為反應氣體,可使用N 2或NH 3等氣體。再者,含有氮之反應物種之氣體亦可藉由將反應氣體電漿化而生成。藉此,能生成反應性更高之含有氮之反應物種,而能縮短保護膜之成膜所需之時間。又,於圖案為孔之情形時,反應氣體之分子難以到達圖案底部。於此情形時,在工序c-2)中,亦可對載置基板W之下部電極施加偏壓電壓。藉此,能使反應氣體之分子到達圖案底部。
繼而,利用沖洗氣體對基板W之表面進行沖洗(S163)。藉此,過剩地供給之反應氣體被排出。
繼而,藉由基板處理系統1之控制裝置,判定步驟S16之處理是否已執行預先規定之時間(S17)。於步驟S16之處理未執行預先規定之時間之情形時(S17:否),再次執行步驟S16所示之處理。步驟S17中之預先規定之時間係指形成於凹部之側壁之保護膜成為預先規定之厚度之時間。於本實施方式中,預先規定之厚度為例如20 nm以下之厚度。較佳為,預先規定之厚度亦可為例如10 nm以下之厚度。進而較佳為,預先規定之厚度亦可為例如5 nm以下之厚度。藉此,能削減保護膜之成膜所需之時間。又,形成於凹部之底部側之保護膜之厚度相對於形成於凹部之上部側之保護膜之厚度的比較佳為0.7以下。
另一方面,於步驟S16之處理已執行預先規定之時間之情形時(S17:是),將基板W自成膜裝置14搬出。藉此,於膜EL之凹部之表面,如例如圖2(C)般形成保護膜PF。然後,將基板W再次搬入蝕刻裝置12內(S18)。步驟S18係工序d)之一例。
此處,於本實施方式中,膜EL係氧化矽膜與氮化矽膜之多層膜,相較於吸附在膜EL上,含有矽之反應物種之分子更容易吸附在遮罩MA上。因此,如例如圖2(c)所示,保護膜PF於遮罩MA之表面較於膜EL之表面更厚地形成。再者,於本實施方式中,膜EL係藉由例如CVD(Chemical Vapor Deposition,化學氣相沈積)而形成,保護膜PF係藉由ALD(Atomic Layer Deposition,原子層沈積)而形成。因此,膜EL所具有之氮化矽膜與作為保護膜PF之氮化矽膜係於矽原子及氮原子之含有比率、膜密度、及晶體結構中之至少任一方面不同。
繼而,將基板W之溫度調整為100℃以下之預先規定之溫度(S19)。於步驟S19中,將基板W之溫度調整為例如0℃。步驟S19係工序e)之一例。
繼而,沿著遮罩MA之形狀,對形成於膜EL之凹部之底部進一步進行蝕刻(S20)。步驟S20係工序f)及工序k)之一例。然後,藉由控制裝置,判定步驟S20之處理是否已執行預先規定之時間(S21)。於步驟S20之處理未執行預先規定之時間之情形時(S21:否),再次執行步驟S20所示之處理。若步驟S20之執行時間變長,則不僅凹部之深度會增加,亦會產生凹部之側壁之形狀異常。步驟S20中之預先規定之時間係指形成於膜EL之凹部之側壁不會產生彎曲等形狀異常之範圍之時間。
另一方面,於步驟S20之處理已執行預先規定之時間之情形時(S21:是),將基板W自蝕刻裝置12搬出(S22)。藉此,對於膜EL,沿著遮罩MA之開口,形成如例如圖2(D)般之凹部。然後,本流程圖所示之基板處理方法結束。
再者,亦可將步驟S14~S21依序反覆執行2次以上。藉此,能抑制凹部之形狀異常,並且於膜EL形成高深寬比(A/R)之凹部。又,於反覆執行步驟S14~S21之情形時,亦可以如下方式進行控制,即,在之後執行之步驟S14~S21中,相較於之前執行之步驟S14~S21,使供給含有矽之反應物種之時間及供給含有氮之反應物種之時間中之至少任一者變長。
[凹部之CD] 圖3係表示凹部之深度方向上之CD分佈之一例的圖。如例如圖3(A)所示,凹部之深度係以遮罩MA與膜EL之交界為基準,將膜EL側定義為負之深度,將遮罩MA側定義為正之深度。
圖3(B)之比較例表示在不形成保護膜PF的情況下凹部被蝕刻之情形時之凹部之深度方向上之CD分佈。於比較例中,在遮罩MA與膜EL之交界附近,CD較大。又,隨著凹部之深度向負方向增加,而CD減小。若矩形形狀之剖面形狀為凹部之理想形狀,則比較例中之凹部之剖面形狀相對於理想之凹部形狀而言,為異常之形狀。
另一方面,關於藉由本實施方式之方法而形成之凹部,如例如圖3(B)所示,遮罩MA與膜EL之交界附近之CD增加被抑制得小於比較例。又,於本實施方式中,亦隨著凹部之深度向負方向增加,而CD減小,但其減小量被抑制得小於比較例之減小量。即,藉由本實施方式之方法,凹部之形狀異常得以抑制。
[基板處理系統1之構成] 圖1所例示之處理係藉由例如圖4所示之基板處理系統1來執行。圖4係表示基板處理系統1之一例之概略俯視圖。於圖4中,為了便於說明,將一部分裝置之上部描繪成透明。本實施方式之基板處理系統1係多腔室型真空處理系統。基板處理系統1使用複數個蝕刻裝置12及成膜裝置14,於成為處理對象之基板W形成預先規定之圖案之凹部。
基板處理系統1具備本體10及控制本體10之各部之控制裝置100。本體10具有真空搬送室11。真空搬送室11之平面形狀為六邊形,於其2個側壁分別經由閘閥G1連接有複數個蝕刻裝置12。又,於真空搬送室11之另外1個側壁,經由閘閥G2連接有真空搬送室13。又,於真空搬送室11之另外1個側壁,經由閘閥G4連接有保管室15。又,於真空搬送室11之另外2個側壁,經由閘閥G5連接有裝載閉鎖室16。
真空搬送室11內係藉由真空泵而排氣,從而保持為預先規定之真空度。於真空搬送室11內,設置有機械臂等搬送裝置110。搬送裝置110沿著設置於真空搬送室11內之導軌111於真空搬送室11內移動。搬送裝置110於蝕刻裝置12、真空搬送室13、保管室15及裝載閉鎖室16之間搬送基板W。搬送裝置110係第2搬送裝置之一例。
各個蝕刻裝置12係單片式蝕刻裝置,沿著基板W中所設置之遮罩MA之形狀對遮罩MA之下層之膜EL進行蝕刻。於真空搬送室13,經由閘閥G3連接有成膜裝置14。於真空搬送室13內,設置有搬送基板W之機械臂等搬送裝置13a。搬送裝置13a於真空搬送室11與成膜裝置14之間搬送基板W。搬送裝置13a於真空搬送室11內或真空搬送室13內,自搬送裝置110接收基板W,並將基板W配置於設置在成膜裝置14內之特定之晶舟144。搬送裝置13a係第1搬送裝置之一例。
成膜裝置14係於基板W之凹部形成保護膜PF。於本實施方式中,成膜裝置14係能夠於複數個基板W上一起形成保護膜PF之批次式成膜裝置。於成膜裝置14內,於例如鉛直方向上排列收容複數個基板W。藉此,能削減成膜裝置14之佔據面積。再者,於成膜裝置14內,亦可於除鉛直方向以外之方向上排列收容複數個基板W。搬送裝置13a可使基板W沿鉛直方向移動。藉由反覆進行蝕刻裝置12對膜EL之蝕刻、與利用成膜裝置14之保護膜PF之形成,而於基板W形成膜EL之形狀異常得到抑制之高A/R之凹部。
藉由蝕刻裝置12進行蝕刻後之基板W係於搬入成膜裝置14內之前暫時保管於保管室15內。又,藉由成膜裝置14而形成有保護膜PF之基板W係於搬入蝕刻裝置12內之前暫時保管於保管室15內。
各個裝載閉鎖室16經由閘閥G6連接於大氣搬送室17。於大氣搬送室17之側面設置有複數個埠18,該等埠18係用以安裝收容基板W之FOUP(Front-Opening Unified Pod,前開式晶圓傳送盒)等載具C。又,於大氣搬送室17之側壁,設置有用以進行基板W之對準之對準室17c。又,於大氣搬送室17內形成清潔空氣之降流。
於大氣搬送室17內,設置有機械臂等搬送裝置17a。搬送裝置17a沿著設置於大氣搬送室17內之導軌17b於大氣搬送室17內移動。搬送裝置17a於各個載具C、各個裝載閉鎖室16及對準室17c之間搬送基板W。
控制裝置100具有記憶體、處理器及輸入輸出介面。記憶體中儲存有藉由處理器來執行之程式、及包含各處理條件之製程配方等。處理器執行自記憶體讀出之程式,基於記憶於記憶體內之製程配方,經由輸入輸出介面控制本體10之各部。
[蝕刻裝置12之構成] 圖5係表示蝕刻裝置12之一例之概略剖視圖。於本實施方式中,蝕刻裝置12係具備平行板電極之單片式電漿蝕刻裝置。蝕刻裝置12具有大致圓筒形狀之處理容器120。處理容器120劃定處理空間S p。處理容器120係由例如鋁等構成,其內壁面被實施陽極氧化處理。處理容器120安全接地。
於處理容器120之底部上,設置有大致圓筒狀之支持構件121。支持構件121係由例如石英之類的可包含氧之絕緣材料等構成。支持構件121係於處理容器120內自處理容器120之底部沿例如鉛直方向延伸。於處理容器120內,設置有載置台PD。載置台PD係由支持構件121支持。
載置台PD係於載置台PD之上表面保持基板W。要藉由蝕刻裝置12來蝕刻之基板W之面FW係與載置台PD之上表面接觸之基板W之背面之相反側之面,面向上部電極130。載置台PD具有下部電極LE及靜電吸盤ESC。下部電極LE包含大致圓盤形狀之第1板118a及第2板118b。第1板118a及第2板118b係由例如鋁等金屬構成。第2板118b設置於第1板118a上,且電性連接於第1板118a。
於第2板118b上設置有靜電吸盤ESC。靜電吸盤ESC係將作為導電膜之電極配置於一對絕緣層之間或一對絕緣片材之間而成之構造。於靜電吸盤ESC之電極,經由開關123電性連接有直流電源122。基板W於載置於載置台PD之情形時,以基板W之背面(面FW之相反側之面)與靜電吸盤ESC相接。靜電吸盤ESC係藉由來自直流電源122之直流電壓所產生之庫侖力等靜電力而吸附基板W。藉此,靜電吸盤ESC能保持基板W。
於第2板118b之周緣部上,以包圍基板W之邊緣及靜電吸盤ESC之方式配置有邊緣環ER。邊緣環ER係為了提高蝕刻之均勻性而設置。邊緣環ER係由根據蝕刻對象之膜之材料而適當選擇之材料構成,例如可包含矽或石英。
於第2板118b之內部,設置有流路124。自設置於處理容器120外部之未圖示之冷卻器單元經由配管126a向流路124供給冷媒。經由配管126a供給至流路124之冷媒經由配管126b返回至冷卻器單元。藉此,溫度經冷卻器單元控制之冷媒於流路124內循環。藉由控制冷媒之溫度,可經由靜電吸盤ESC控制基板W之溫度。
於載置台PD設置有配管128。配管128將來自未圖示之傳熱氣體供給機構之傳熱氣體供給至靜電吸盤ESC與基板W之間。傳熱氣體可使用例如氦氣等。
於載置台PD,設置有調節基板W之溫度之加熱器HT。加熱器HT內置於靜電吸盤ESC中。於加熱器HT,連接有加熱器電源HP。藉由自加熱器電源HP向加熱器HT供給電力,來調整靜電吸盤ESC之溫度,從而調整載置於靜電吸盤ESC上之基板W之溫度。再者,加熱器HT亦可嵌埋於第2板118b內。於對基板W進行蝕刻之情形時,加熱器HT藉由相對於在流路124中流動之冷媒之冷卻量,控制加熱量,而將基板W之溫度調整為100℃以下,例如0℃等。加熱器HT係第2溫度調整部之一例。
於載置台PD之上方,設置有上部電極130。上部電極130係於載置台PD之上方,以與載置台PD對向之方式配置。下部電極LE與上部電極130相互大致平行地設置,構成平行板電極。於上部電極130與下部電極LE之間,提供用於對基板W進行處理之處理空間S p
上部電極130介隔絕緣構件132支持於處理容器120之上部。絕緣構件132係由例如石英等之類的可包含氧之絕緣材料構成。上部電極130具有電極板134及電極支持體136。電極板134面向處理空間S p,於電極板134形成有複數個氣體噴出口134a。電極板134例如包含矽。電極板134例如亦可為矽。
電極支持體136係由例如鋁等導電性材料構成,裝卸自如地支持電極板134。電極支持體136可具有水冷構造。於電極支持體136之內部,設置有氣體擴散室136a。氣體擴散室136a經由與氣體噴出口134a連通之複數個氣體流通口136b而連通於處理空間S p。於氣體擴散室136a,經由氣體導入口136c連接有配管138。於配管138,連接有氣體供給部150。
本體10具有第1 RF(Radio Frequency,射頻)電源162及第2 RF電源164。第1 RF電源162係產生電漿生成用之第1 RF電力之電源,產生27~100 MHz之頻率之RF電力,於一例中產生60 MHz之RF電力。又,第1 RF電源162將所產生之RF電力呈脈衝狀輸出。脈衝之頻率能控制在例如0.1~50 kHz之範圍內,脈衝之工作比能控制在例如5~100%之範圍內。第1 RF電源162經由匹配器166連接於下部電極LE。匹配器166係用以使第1 RF電源162之輸出阻抗與負載側(下部電極LE側)之輸入阻抗相匹配之電路。再者,第1 RF電源162亦可經由匹配器166連接於上部電極130。
第2 RF電源164係產生用以向基板W饋入離子之第2 RF波電力、即RF偏壓電力之電源,產生400 kHz~40.68 MHz之範圍內之頻率之RF偏壓電力,於一例中產生13.56 MHz之頻率之RF偏壓電力。又,第2 RF電源164將所產生之RF偏壓電力呈脈衝狀輸出。脈衝之頻率能控制在例如0.1~50 kHz之範圍內,脈衝之工作比能控制在例如5~100%之範圍內。第2 RF電源164經由匹配器168連接於基板處理系統1LE。匹配器168係用以使第2 RF電源164之輸出阻抗與負載側(下部電極LE側)之輸入阻抗相匹配之電路。
於上部電極130之電極支持體136連接有電源160。電源160對上部電極130施加用以將存在於處理空間S p內之正離子向電極板134饋入之電壓。電源160例如為產生負直流電壓之直流電源。若將此種電壓自電源160施加至上部電極130,則存在於處理空間S p中之正離子會碰撞電極板134。藉此,能自電極板134釋出二次電子、矽或其等兩者。
於處理容器120之底部側且支持構件121與處理容器120之側壁之間,設置有藉由在例如鋁材上被覆Y 2O 3等陶瓷而構成之排氣板155。於排氣板155之下方且處理容器120之底部,形成有排氣口120e。於排氣口120e,經由排氣管157連接有排氣裝置158。排氣裝置158具有渦輪分子泵等真空泵,可將處理容器120內之空間減壓至預先規定之真空度。
於處理容器120之側壁,形成有用以將基板W搬入及搬出之開口120g。開口120g藉由閘閥G1而打開及關閉。又,於處理容器120之側壁,設置有氣體導入口157a。氣體導入口157a於處理容器120內設置於配置在載置台PD上之基板W之側方。於氣體導入口157a,經由配管139連接有氣體供給部150。氣體供給部150係第2氣體供給部之一例。
氣體供給部150具有氣體源群151、流量控制器群152及閥群153。氣體源群151具有複數個氣體源。複數個氣體源可包含氟碳系氣體(C xF y氣體(x、y為1~10之整數))源、及惰性氣體源等各種氣體源。作為惰性氣體,可使用氮氣、氬氣、氦氣等任意氣體。
流量控制器群152包含質量流量控制器等複數個流量控制器,閥群153包含複數個閥。氣體源群151所包含之複數個氣體源之各者經由流量控制器群152所包含之對應之流量控制器及閥群153所包含之對應之閥而連接於配管138及配管139。藉此,本體10可將自選自氣體源群151之複數個氣體源中之一個以上之氣體源供給之氣體,以個別地調整之流量,經由配管138及配管139向處理容器120內之處理空間S p供給。
於處理容器120之內側壁,裝卸自由地設置有積存物遮罩154。積存物遮罩154亦設置於支持構件121之外周。積存物遮罩154係防止蝕刻副產物(積存物)附著於處理容器120內之構件。積存物遮罩154可藉由在由鋁等構成之構件上被覆Y 2O 3等陶瓷而構成。積存物遮罩154除了Y 2O 3以外,還可由例如石英之類的包含氧之材料構成。
[成膜裝置14之構成] 圖6係表示成膜裝置14之一例之概略剖視圖。圖6中,亦同時示出了真空搬送室13。
於真空搬送室13中設置有搬送裝置13a。搬送裝置13a可使基板W在與水平面交叉之方向(例如鉛直方向)上移動。
成膜裝置14具有含頂壁之大致圓筒狀之外壁140及設置於外壁140之內側之內壁141。外壁140及內壁141係由例如石英等形成。於外壁140與內壁141之間形成有環狀空間143。外壁140及內壁141係與基底構件145接合。
於內壁141之內側之處理室142中,設置有晶舟144。晶舟144將複數個基板W在與水平面交叉之方向(例如鉛直方向)上排列保持。藉此,成膜裝置14可對複數個基板W一起形成保護膜PF。又,藉由將複數個基板W在與水平面交叉之方向(例如鉛直方向)上排列保持,能削減成膜裝置14之佔據面積。
向內壁141之內側之處理室142內,經由配管148a供給氣體。供給至處理室142內之氣體經由外壁140與內壁141之間的環狀空間143自配管148b排出。
於配管148a,連接有氣體供給部146。氣體供給部146係第1氣體供給部之一例。氣體供給部146具有複數個閥1460a~1460c、複數個MFC(Mass Flow Controller,質量流量控制器)1461a~1461c及複數個氣體源1462a~1462c。氣體源1462a係前驅物之氣體之供給源。氣體源1462b係沖洗氣體之供給源。氣體源1462c係反應氣體之供給源。於本實施方式中,前驅物之氣體係包含例如SiCl 4、二氯矽烷(DCS)或六氯乙矽烷(HCDS)等含有矽之反應物種之氣體。又,於本實施方式中,沖洗氣體例如為氬氣等稀有氣體或氮氣等惰性氣體。又,於本實施方式中,反應氣體係包含例如N 2或NH 3等含有氮之反應物種之氣體。
於內壁141,設置有加熱器147。加熱器147於形成保護膜PF時,將基板W之溫度調整為例如200℃以上。於本實施方式中,加熱器147於形成保護膜PF時,將基板W之溫度調整為例如300℃。加熱器147係第1溫度調整部之一例。
以上,對第1實施方式進行了說明。如上所述,本實施方式之基板處理方法包含工序a)、工序b)、工序c)、工序d)、工序e)及工序f)。於工序a)中,將於成為處理對象之膜EL形成有凹部之基板W搬入成膜裝置14內。於工序b)中,將基板W之溫度調整為200℃以上。於工序c)中,於凹部之側壁形成作為保護膜PF之氮化矽膜。於工序d)中,將基板W搬入與成膜裝置14不同之蝕刻裝置12內。於工序e)中,將基板W之溫度調整為100℃以下。於工序f)中,對凹部之底部進行蝕刻。藉此,能抑制形成於基板W之半導體圖案之形狀異常。
又,於上述實施方式中,工序c)包含工序c-1)及工序c-2)。於工序c-1)中,藉由向成膜裝置14內供給含有矽之反應物種,而使含有矽之反應物種吸附於凹部之側壁。於工序c-2)中,向成膜裝置14內供給含有氮之反應物種,使吸附於凹部之側壁之含有矽之反應物種與含有氮之反應物種反應,藉此於凹部之側壁形成保護膜PF。藉此,能夠於凹部之側壁形成預先規定之厚度之保護膜PF。
又,於上述實施方式中,含有氮之反應物種亦可藉由將含有氮之氣體電漿化而生成。藉此,能生成反應性更高之含有氮之反應物種,而能縮短保護膜PF之成膜所需之時間。
又,於上述實施方式中,工序c)中亦可包含在工序c-1)之前執行之工序c-0)。於工序c-0)中,藉由在成膜裝置14內將包含氮及氫之氣體電漿化,而利用胺基將基板W之表面封端。藉此,能更高效率地使前驅物之分子吸附於基板W之表面。
又,於上述實施方式中,較佳為,於工序c)中,在凹部之側壁形成20 nm以下之保護膜PF。進而較佳為,於工序c)中,亦可於凹部之側壁形成10 nm以下之保護膜PF。藉此,能削減保護膜PF之成膜所需之時間。
又,於上述實施方式中,對於基板W,於膜EL之上設置有遮罩MA,於工序c)中,保護膜PF亦形成於遮罩MA之表面。形成於遮罩MA之表面之保護膜PF之厚度較形成於凹部之側壁之保護膜PF之厚度厚。藉此,能降低進行蝕刻時之遮罩MA之減少量。
又,於上述實施方式中,膜EL具有氧化矽膜及氮化矽膜,膜EL所具有之氮化矽膜與保護膜PF係於矽原子及氮原子之含有比率、膜密度及晶體結構中之至少任一方面不同。
又,於上述實施方式中,可將工序a)~工序f)依序反覆執行2次以上。藉此,能抑制凹部之形狀異常,並且形成高A/R之凹部。
又,上述實施方式之基板處理方法係使用具備能夠收容複數個基板W之批次式之成膜裝置14、及單片式之複數個蝕刻裝置12的基板處理系統1來執行。藉此,能於複數個基板W高效率地形成預先規定之形狀之凹部。
又,上述實施方式之基板處理系統1具備成膜裝置14、加熱器147、氣體供給部146、蝕刻裝置12、加熱器HT、氣體供給部150、搬送裝置及控制裝置100。成膜裝置14收容成為處理對象之膜EL上形成有凹部之基板W。加熱器147調整收容於成膜裝置14內之基板W之溫度。氣體供給部146向成膜裝置14內供給氣體。蝕刻裝置12收容基板W,且與成膜裝置14不同。加熱器HT調整收容於蝕刻裝置12內之基板W之溫度。氣體供給部150向蝕刻裝置12內供給氣體。搬送裝置110及搬送裝置13a於成膜裝置14與蝕刻裝置12之間搬送基板W。控制裝置100執行工序a)~工序f)。控制裝置100於工序a)中,以將基板W搬入成膜裝置14內之方式控制搬送裝置。又,控制裝置100於工序b)中,以使基板W之溫度變為200℃以上之方式控制加熱器147。又,控制裝置100於工序c)中,以於凹部之側壁形成作為保護膜PF之氮化矽膜之方式控制氣體供給部146。又,控制裝置100於工序d)中,以將基板W搬入蝕刻裝置12內之方式控制搬送裝置。又,控制裝置100於工序e)中,以使基板W之溫度變為100℃以下之方式控制加熱器HT。又,控制裝置100於工序f)中,以對凹部之底部進行蝕刻之方式控制氣體供給部150。藉此,能抑制形成於基板W上之半導體圖案之形狀異常。
又,於上述實施方式中,成膜裝置14係能夠將複數個基板W於例如鉛直方向上收容之批次式成膜裝置,蝕刻裝置12係單片式蝕刻裝置。藉此,能削減基板處理系統1之佔據面積。
又,於上述實施方式中,搬送裝置具有搬送裝置110及搬送裝置13a。搬送裝置13a進行基板W向成膜裝置14之搬入、及基板W自成膜裝置14之搬出。搬送裝置110進行基板W向蝕刻裝置12之搬入、及基板W自蝕刻裝置12之搬出。搬送裝置13a能夠於鉛直方向上搬送基板W。藉此,能削減基板處理系統1之佔據面積。
又,於上述實施方式中,基板處理系統1中進而具備保管室15,該保管室15於執行工序c)之後且於開始工序d)之前之期間內,保管執行工序c)後之基板W。藉此,基板處理系統1能高效率地處理複數個基板W。
(第2實施方式) 於第1實施方式中,於形成於基板W之膜EL中之凹部之側壁,共形地形成有保護膜PF。針對此,於本實施方式中,以膜EL之凹部之表面上之自行控制之吸附或反應不會完成之方式設定處理條件。作為處理態樣,至少可例舉以下2種態樣。 (1)使前驅物P吸附於膜EL之凹部之整個表面。其後,以不會遍及凹部之整個表面之方式控制所導入之反應氣體R。 (2)僅使前驅物P吸附於膜EL之凹部之表面之一部分。其後,使所導入之反應氣體僅於凹部之吸附有前驅物P之表面部分進行反應。
藉此,能於膜EL之凹部之側壁中之在凹部之寬度方向上CD變寬之部分形成更厚之保護膜PF,從而能進而抑制凹部之形狀異常。再者,於本實施方式中,除了以下所說明之方面以外,藉由與圖1所例示之基板處理方法相同之方法,於凹部之側壁形成保護膜PF。又,於本實施方式中,亦藉由使用圖4~圖6所說明之基板處理系統1來進行基板W之處理。
圖7係表示第2實施方式之基板處理方法之處理過程之一例的圖。於本實施方式中,首先,向配置有基板W之成膜裝置14內導入前驅物P(圖7之(A))。前驅物P之分子經由形成於基板W之膜EL中之開口OP侵入凹部內,且吸附於凹部之表面。藉由設置足夠之處理時間以使前驅物P之分子吸附於凹部之整個表面,而前驅物P之分子吸附於凹部之整個表面(圖7之(B))。當前驅物P之吸附完成時,對基板W之表面進行沖洗。
其次,將反應氣體R導入成膜裝置14內(圖7之(C))。所導入之反應氣體R一面與吸附於凹部表面之前驅物P進行反應,一面侵入凹部內。藉此,自凹部之上方徐徐地形成保護膜PF。此處,於保護膜PF之形成到達凹部之下部之前,停止供給反應氣體R,對基板W之表面進行沖洗。藉此,並非使用ALD之方法於凹部之側壁整體形成保護膜PF,而是可使用ALD之方法僅於凹部之側壁之上部形成保護膜PF(圖7之(D))。於圖7之(D)中,保護膜PF例如形成於遮罩MA之表面、及凹部之側壁中之遮罩MA與膜EL之交界附近,而未形成於凹部之側壁之下部及底部。
圖8係表示第2實施方式之基板處理方法之處理過程之另一例的圖。於圖8之例中,使前驅物P之分子僅吸附於膜EL之上部(圖8之(A))。然後,於對前驅物P之分子進行沖洗之後,將反應氣體R向成膜裝置14內導入(圖8之(B))。此時,反應氣體R僅於吸附有前驅物P之分子之位置與前驅物P之分子反應,因此僅於凹部之上部形成保護膜PF(圖8之(C))。
如上所述,於本實施方式之基板處理方法中,使前驅物P與反應氣體R之反應僅於凹部之側壁之預先規定之部分產生。於此情形時,例如設定如下處理條件,比如前驅物P之分子之吸附發生於凹部之側壁之上部,或者反應氣體R之反應僅於凹部之側壁之上部產生。作為用以設定此種處理條件之參數,例如可例舉:基板W之溫度、成膜裝置14內之壓力、所導入之前驅物P之流量及導入時間、所導入之反應氣體R之流量及導入時間、處理時間等。又,於使用電漿之處理之情形時,亦可調整為了生成電漿而供給之RF電力之頻率或大小。
於上述第2實施方式中,於形成有隨著自凹部之上部前往下部,凹部寬度變小之形狀的凹部之情形時,能實現在藉由保護膜PF抑制側壁之尺寸變動的同時使底部之尺寸變大之控制。又,以下,對能進而提高所形成之凹部之形狀控制之自由度的第2實施方式之變化例進行說明。
(變化例之基板處理方法) 圖9係表示第2實施方式之變化例之基板處理方法之一例的流程圖。圖9中,僅圖示了與圖1所例示之第1實施方式之基板處理方法不同之部分。再者,於本變化例中,在圖4所例示之基板處理系統1之保管室15內設置有測定凹部之底部之CD之測定裝置。
於本變化例中,首先,執行圖1所例示之步驟S10~S13之處理。然後,執行圖1所例示之步驟S14~S21之處理。然後,將基板W自蝕刻裝置12搬出,並搬入至保管室15內。然後,藉由設置於保管室15內之測定裝置,測定凹部之底部之CD(S30)。凹部之底部之CD之測定方法並無特別限定,例如可藉由光學方法來測定。
其次,控制裝置100判定所測得之凹部之底部之CD是否為預先規定之值以上(S31)。於凹部之底部之CD未達預先規定之值之情形時(S31:否),再次執行步驟S14所示之處理。另一方面,於凹部之底部之CD為預先規定之值以上之情形時(S31:是),執行步驟S22所示之處理。
圖10係表示第2實施方式之變化例之基板處理方法之處理過程之一例的圖。於第2實施方式之變化例之基板處理方法中,在步驟S10中,如例如圖10(A)所示,將基板W搬入蝕刻裝置12內,該基板W係於基材BM上積層膜EL,且於膜EL上積層形成有預先規定之圖案之遮罩MA而成。然後,執行步驟S11~S13,藉此如例如圖10(B)所示,於膜EL形成按照形成於遮罩MA之圖案的凹部。於圖10(B)之例中,凹部之底部到達基材BM。
然後,藉由執行步驟S14~S17,而於凹部之側壁形成保護膜PF。此時,保護膜PF係以如前驅物P與反應氣體R之反應僅於凹部之側壁之上部產生般之處理條件形成。藉此,如例如圖10(C)所示,僅於遮罩MA之表面及凹部之側壁之上部形成保護膜PF。然後,執行S18~S21之處理。
然後,測定凹部之底部之CD,反覆進行步驟S14~S21之處理直至凹部之底部之CD變為預先規定之值以上為止。藉此,凹部之剖面形狀變為如例如圖10(D)般,凹部之形狀異常得以抑制。
再者,於圖10之例中,於藉由蝕刻而使凹部之底部到達基材BM之後,在凹部之側壁形成保護膜PF,但揭示之技術並不限於此。例如,作為另一例,亦可如圖11之(A)~(D)所例示,於藉由蝕刻而形成之凹部之底部到達基材BM之前,在凹部之側壁形成保護膜PF。
再者,於圖11之例中,於凹部之側壁之一部分形成保護膜PF,但作為另一例,亦可於凹部之側壁及底部之整體形成保護膜PF。
(第3實施方式) 於第2實施方式中,藉由將前驅物P及反應氣體R中之至少任一者僅向凹部之側壁之預先規定之部分供給,而使前驅物P與反應氣體R之反應僅於凹部之側壁之預先規定之部分產生。針對此,於本實施方式中,藉由將抑制前驅物P之吸附之抑制因子(以下,亦稱為抑制劑)預先形成於凹部表面之一部分,而控制前驅物P之吸附位置。藉此,可使前驅物P吸附於除形成有抑制劑之位置以外之凹部內之位置,從而可於除形成有抑制劑之位置以外之凹部內之位置形成保護膜PF。再者,於本實施方式中,除了以下所說明之方面以外,藉由與圖1所例示之基板處理方法相同之方法,於凹部之側壁形成保護膜PF。又,於本實施方式中,亦藉由使用圖4~圖6所說明之基板處理系統1進行基板W之處理。
(基板處理方法) 圖12係表示第3實施方式之基板處理方法之一例之流程圖。於本實施方式中,首先,執行圖1所例示之步驟S10~S14之處理。然後,於凹部表面之一部分區域形成抑制劑層IN作為抑制因子(S40)。然後,執行圖1所例示之步驟S15~S22之處理。
圖13係表示第3實施方式之基板處理方法之處理過程之一例的圖。於步驟S40中,如例如圖13(A)所示,向基板W之表面供給抑制因子I之氣體。包含抑制因子I之氣體例如為含有碳之氣體。含有碳之氣體例如可例舉:氟碳氣體、氫氟碳氣體、及碳氫氣體等。包含抑制因子I之氣體例如被電漿化並供給至基板W之表面。藉此,如例如圖13(B)所示,於基板W之凹部形成抑制劑層IN。
於使用氟碳氣體作為包含抑制因子I之氣體之情形時,在凹部形成氟碳膜作為抑制劑層IN。於使用氫氟碳氣體作為包含抑制因子I之氣體之情形時,在凹部形成氫氟碳膜作為抑制劑層IN。於使用碳氫氣體作為包含抑制因子I之氣體之情形時,在凹部形成碳氫膜作為抑制劑層IN。氟碳膜、氫氟碳膜及碳氫膜均為疏水性膜。再者,藉由調整電漿CVD之處理條件,可於凹部之任意區域形成抑制劑層IN。於圖13(B)之例中,抑制劑層IN成膜於遮罩MA之上部及凹部之底部。
其次,於步驟S15中,將基板W之溫度調整為200℃以上,於步驟S160中,如例如圖13(C)所示,將前驅物P向成膜裝置14內供給。此時,前驅物P之分子不會吸附於形成有抑制劑層IN之部分。因此,如例如圖13(D)所示,前驅物P之分子選擇性地吸附於凹部之未形成抑制劑層IN之側壁。
然後,於步驟S161中,對基板W之表面進行沖洗,於步驟S162中,向成膜裝置14內供給反應氣體R。藉此,選擇性地吸附於凹部之未形成抑制劑層IN之側壁的前驅物P之分子與反應氣體R反應,如圖13(D)所示,於凹部之側壁形成保護膜PF。此時,藉由在反應氣體R之分子到達凹部之底部之前於步驟S163中進行沖洗,而如例如圖13(D)所示,可於凹部之側壁形成越靠凹部之側壁之上部越厚且越靠凹部之側壁之下部越薄之保護膜PF。
如此,於使用抑制因子I形成保護膜PF之情形時,可進而調整保護膜PF之形成位置或膜厚。又,保護膜PF之形成位置可藉由基板W之溫度或成膜裝置14內之壓力等來控制。因此,根據本實施方式,能藉由抑制因子I而防止保護膜PF於遮罩MA之上部之形成,亦能防止於形成保護膜PF時將凹部之開口部封閉。
[凹部之CD] 圖14係表示凹部之深度方向上之CD分佈之一例的圖。圖14中,關於初始狀態之凹部及形成保護膜PF後之凹部,示出了以遮罩MA與膜EL之界面為基準之凹部之深度方向上之CD分佈。再者,於凹部之側壁(單側)形成之保護膜PF之成膜量係初始狀態下之CD與形成保護膜PF後之CD之差量除以2所得之值。於圖14(A)中,虛線表示凹部之初始狀態之CD分佈(初始狀態)。又,單點鏈線表示對於初始狀態之凹部使用第1實施方式之基板處理方法形成保護膜PF之情形時之CD分佈(參考例1)。又,實線表示對於初始狀態之凹部藉由電漿CVD而形成抑制劑層IN之後,以通常之ALD形成保護膜PF之情形時之CD分佈(參考例2)。又,於圖14(B)中,虛線表示凹部之初始狀態之CD分佈(初始狀態)。又,單點鏈線表示對於初始狀態之凹部使用第2實施方式之基板處理方法形成保護膜PF之情形時之CD分佈(第2實施方式)。又,實線表示對於初始狀態之凹部使用第3實施方式之基板處理方法形成保護膜PF之情形時之CD分佈(第3實施方式)。
如圖14(A)所示,於使用第1實施方式之基板處理方法形成保護膜PF之情形時,若使用抑制劑層IN,則於遮罩MA之下直至約0.6 μm之深度為止,保護膜PF之形成得到抑制。但是,於較約0.6 μm更深之位置,則大致共形地形成有保護膜PF。另一方面,如圖12(B)所示,於利用第3實施方式之基板處理方法形成保護膜PF之情形時,藉由使用抑制劑層IN,而於遮罩MA之下直至約0.6 μm之深度為止之保護膜PF之厚度被抑制至約二分之一。又,於較約0.6 μm更深之位置,則與不使用抑制劑層IN之情形同樣地,保護膜PF之形成得到抑制。如此,藉由使用抑制劑層IN,能更微細地抑制凹部之上部之保護膜PF之膜厚。又,能維持凹部之深度方向上之保護膜PF之膜厚差。
圖15係表示處理後之凹部之剖面之一例的圖。關於初始狀態之凹部,如例如圖15(A)所示,遮罩MA之上部附近之開口尺寸為約45 nm。與此相對,於使用第1實施方式之基板處理方法形成保護膜PF之情形時(參考例1),如例如圖15(B)所示,開口尺寸減小至約30 nm。另一方面,於在形成有抑制劑層IN之基礎上,利用通常之ALD並使用第1實施方式之基板處理方法形成保護膜PF之情形時(參考例2),則如例如圖15(C)所示,開口尺寸維持在約42 nm。與此相對,於藉由第2實施方式之基板處理方法形成保護膜PF之情形時(第2實施方式),如例如圖15(D)所示,開口尺寸為約21 nm。另一方面,於在藉由第3實施方式之基板處理方法形成抑制劑層IN之基礎上,藉由第2實施方式之基板處理方法形成保護膜PF之情形時(第3實施方式),則如例如圖15(E)所示,開口尺寸維持在約40 nm。如此,藉由使用抑制劑層IN抑制保護膜PF於遮罩MA之上部附近之形成,而防止凹部之開口之封閉之效果得到認可。
進而,於第3實施方式中,藉由調整抑制劑層IN之形成位置,可於任意位置形成保護膜PF。因此,可依照能預測到會產生之彎曲或頸縮等圖案之形狀異常,來調整保護膜PF之膜厚,並且於所期望之位置形成保護膜PF。又,藉由使抑制劑層IN之形成位置具有A/R依存性,可調整凹部之側壁上之成膜位置。又,藉由改變抑制劑層IN之組成,亦能抑制ALD中之前驅物P之吸附及反應氣體R之吸附中之任一者。例如,若形成包含碳之抑制劑層IN,則能抑制前驅物P之氧化,若形成包含CF之抑制劑層IN,則能抑制前驅物P之吸附。
(第4實施方式) 於上述第2及第3實施方式中,於高A/R之凹部之深度方向上改變被覆率而形成保護膜PF。針對此,於本實施方式中,不僅高A/R之凹部,於例如A/R未達5之凹部等低A/R之凹部中亦可於凹部之深度方向改變被覆率而形成保護膜PF。再者,於以下說明中,「低A/R」係指例如未達5之A/R。
(基板處理方法) 圖16係表示第4實施方式之基板處理方法之一例之流程圖。再者,於本實施方式中,除了以下所說明之方面以外,藉由與圖1所例示之基板處理方法相同之方法,於凹部之側壁形成保護膜PF。又,於本實施方式中,亦藉由使用圖4~圖6所說明之基板處理系統1來進行基板W之處理。再者,於本實施方式中,在圖4所例示之基板處理系統1之保管室15內設置有測定凹部之A/R之測定裝置。
於本實施方式中,首先,執行圖1所例示之步驟S10~S13之處理。藉此,如例如圖17(A)所示,於膜EL形成凹部。然後,將基板W搬入保管室15內,測定凹部之A/R。凹部之A/R之測定方法並無特別限定,例如可藉由光學方法進行測定。
然後,控制裝置100判定所測得之凹部之A/R是否為預先規定之值以上(S50)。於凹部之A/R未達預先規定之值之情形時(S50:否),將基板W搬入成膜裝置14內。然後,如例如圖17(B)所示,於凹部之上部形成備用膜PC(S51)。此時,使用主要於凹部之上部形成保護膜PF,而於凹部之下部及底部不易形成保護膜PF之處理條件,形成保護膜PF。然後,將基板W再次搬入保管室15內,執行步驟S50所示之處理。
另一方面,於凹部之A/R為預先規定之值以上之情形時(S50:是),執行圖1所例示之步驟S14~S22之處理。例如,藉由步驟S14~S17之處理,而如例如圖17(C)所示,於凹部之上部形成保護膜PF。然後,藉由步驟S18~S21之處理,而如例如圖17(D)所示,對凹部之底部進一步進行蝕刻。然後,藉由步驟S22將基板W搬出,並利用未圖示之去除裝置去除保護膜PF。藉此,凹部之剖面變為如例如圖17(E)般。
(第5實施方式) 若進行蝕刻,則形成於膜EL之凹部之深度增加,並且遮罩MA之厚度減小。保護膜PF形成於容易產生形狀異常之遮罩MA與膜EL之交界附近,藉此凹部之形狀異常得以抑制。但是,若伴隨蝕刻之進行而遮罩MA之厚度發生變化,則遮罩MA之上部和遮罩MA與膜EL之交界之間的距離會改變。若以依照蝕刻開始前之遮罩MA之厚度,於遮罩MA與膜EL之交界形成保護膜PF之條件,繼續形成保護膜PF,則隨著蝕刻之進行,形成保護膜PF之位置會自遮罩MA與膜EL之交界偏移。因此,於本實施方式中,根據遮罩MA之厚度,改變形成保護膜PF之處理條件。藉此,即便蝕刻進行,亦能抑制凹部之形狀異常。
(基板處理方法) 圖18係表示第5實施方式之基板處理方法之一例之流程圖。再者,於本實施方式中,除了以下所說明之方面以外,藉由與圖1所例示之基板處理方法相同之方法,於凹部之側壁形成保護膜PF。又,於本實施方式中,亦藉由使用圖4~圖6所說明之基板處理系統1來進行基板W之處理。再者,於本實施方式中,在圖4所例示之基板處理系統1之保管室15內設置有測定凹部之形狀之測定裝置。本實施方式之測定裝置係第1測定裝置之一例。
於本實施方式中,首先,執行圖1所例示之步驟S10~S13之處理。藉此,如例如圖19(A)所示,於膜EL形成凹部。然後,將基板W搬入保管室15內,藉由測定裝置測定凹部之形狀(S60)。所謂凹部之形狀,例如指凹部之A/R。
然後,控制裝置100判定所測得之凹部之形狀是否為預先規定之形狀(S61)。所謂預先規定之形狀,例如指凹部之A/R為預先規定之值以上之形狀。於凹部之形狀為預先規定之形狀之情形時(S61:是),執行步驟S22所示之處理。
另一方面,於凹部之形狀並非預先規定之形狀之情形時(S61:否),藉由測定裝置測定遮罩MA之厚度。然後,控制裝置100判定遮罩MA之厚度是否為預先規定之厚度以上(S62)。於遮罩MA之厚度為預先規定之厚度以上之情形時(S62:是),執行步驟S14~S21所示之處理,並再次執行步驟S60所示之處理。
藉由步驟S14~S17所示之處理,而如例如圖19(B)所示,於凹部之上部形成保護膜PF。然後,藉由步驟S18~S21之處理,而如例如圖19(C)所示,對凹部之底部進一步進行蝕刻。藉此,如例如圖19(C)所示,遮罩MA之厚度減小。
另一方面,於遮罩MA之厚度未達預先規定之厚度之情形時(S62:否),控制裝置100重新設定用以形成保護膜PF之處理條件(S63)。然後,基於重新設定之處理條件,執行步驟S14~S21所示之處理。所謂重新設定之處理條件,例如指基於當前之遮罩MA之厚度,於遮罩MA與膜EL之交界附近形成保護膜PF之處理條件。藉此,即便因蝕刻之進行而使遮罩MA之厚度減小,亦可於遮罩MA與膜EL之交界附近形成保護膜PF,能抑制凹部之形狀異常。再者,重新設定之處理條件亦可為凹部之蝕刻條件。
又,於本實施方式中,根據遮罩MA之厚度是否為預先規定之厚度以上,來改變步驟S14~S21所示之處理之處理條件,但揭示之技術並不限於此。作為另一實施方式,於步驟S14~S21之處理反覆進行n次(n為2以上之整數)以上之情形時,不論遮罩MA之厚度如何,均可根據凹部之形狀,基於第(n-1)次保護膜PF之成膜條件改變第n次保護膜PF之成膜條件。或者,於步驟S14~S21之處理反覆進行n次以上之情形時,不論遮罩MA之厚度及凹部之形狀如何,均可基於第(n-1)次保護膜PF之成膜條件改變第n次保護膜PF之成膜條件。改變之成膜條件例如為凹部之側壁上之形成保護膜PF之位置、或形成於凹部之側壁上之保護膜PF之膜厚相關之處理條件。再者,亦可改變凹部之蝕刻條件。
(第6實施方式) 於第2實施方式中,藉由將前驅物P及反應氣體R中之至少任一者僅向凹部之側壁之預先規定之部分供給,而使前驅物P與反應氣體R之反應僅於凹部之側壁之預先規定之部分產生。針對此,於本實施方式中,藉由控制基板W之溫度,而控制於凹部之深度方向上形成保護膜PF之範圍。
(基板處理方法) 圖20係表示第6實施方式之基板處理方法之一例之流程圖。再者,於本實施方式中,除了以下所說明之方面以外,藉由與圖1所例示之基板處理方法相同之方法,於凹部之側壁形成保護膜PF。又,於本實施方式中,藉由使用圖4所說明之基板處理系統1來進行基板W之處理,但保護膜PF之成膜係於各個蝕刻裝置12中進行。又,於本實施方式中,靜電吸盤ESC被分成複數個區域,各個區域之溫度藉由加熱器HT而個別地控制。
於本實施方式中,首先,執行圖1所例示之步驟S10~S13之處理。然後,於蝕刻裝置12內,將基板W之溫度調整為200℃以上,並且將靜電吸盤ESC之至少2個區域設定為不同之溫度(S70)。然後,執行步驟S16~S22之處理。再者,於本實施方式中,於各個蝕刻裝置12內執行S16~S17之處理,而不執行步驟S18之處理。
於本實施方式中,蝕刻裝置12之靜電吸盤ESC被分為如例如圖21所示般之同心圓狀之複數個區域Z1~區域Z3。區域Z1~區域Z3之各者之溫度係藉由加熱器HT而個別地控制。再者,能夠個別地控制溫度之複數個區域之分配方法並不限定於圖21。作為另一例,蝕刻裝置12之靜電吸盤ESC亦可如例如圖22或圖23所示,分為14個區域Z1~區域Z14。
(溫度與保護膜PF之成膜位置之關係) 圖24係表示第1溫度條件下之凹部之深度方向之CD分佈之一例的圖。圖25係表示第2溫度條件下之凹部之深度方向之CD分佈之一例的圖。關於圖24及圖25所示之實驗結果,執行了35次包含前驅物P之導入、沖洗、反應氣體R之導入、沖洗這4個步驟之處理。於反應氣體R之導入中,將反應氣體R電漿化。前驅物P使用含有矽之氣體,反應氣體使用利用氬氣稀釋之氧氣。因此,圖24及圖25中所形成之保護膜PF為氧化矽膜。可認為相對於溫度而言之氧化矽膜之成膜特性係與相對於溫度而言之氮化矽膜之成膜特性相同。因此,以下,以保護膜PF為氧化矽膜之情形為例進行說明。
於實驗中,將導入反應氣體R時之靜電吸盤ESC之溫度設定為2種,測定所形成之保護膜PF之厚度與位置。圖24之實驗係將靜電吸盤ESC之溫度調整為10℃,圖25之實驗係將靜電吸盤ESC之溫度調整為60℃。又,反應氣體R之導入時間(電漿之生成時間)被設定為以下4種,即,1秒、4秒、10秒、飽和完成時間(反應氣體R於基板W之表面上完成飽和所需之足夠之時間)。
於圖24及圖25中,「初始(Initial)」表示實驗開始前之凹部之CD,「共形(Conformal)」表示進行處理直至達到飽和完成時間之情形時之凹部之CD。如根據圖24及圖25可知,於「共形」時,不論凹部之深度如何,均以大致相同之厚度於凹部之側壁形成保護膜PF。
其次,對改變反應氣體R之導入時間而形成於凹部之保護膜PF之厚度進行測定。如根據圖24之曲線圖可知,將反應氣體R之導入時間設定為10秒之情形時所形成之保護膜PF之狀態係雖然膜厚略微朝凹部之下方減小,但大致為共形之膜厚。又,於將反應氣體R之導入時間設定為4秒之情形時,與10秒之情形相比所形成之保護膜PF產生厚度差,於凹部之側壁之下部,與10秒之情形相比形成有較薄之保護膜PF。於將反應氣體R之導入時間設定為1秒之情形時,自遮罩MA與膜EL之交界至凹部之深度方向上約0.6 μm之位置,形成有於凹部之深度方向上厚度逐漸減小之保護膜PF。但是,於較0.6 μm靠下之部分基本未形成保護膜PF。
另一方面,觀察將靜電吸盤ESC之溫度調整為60℃時之圖25之曲線圖後發現,將反應氣體R之導入時間設定為10秒之情形時所形成之保護膜PF之狀態係雖然膜厚略微朝下方減小,但大致共形。於將反應氣體R之導入時間設定為4秒之情形時,與10秒之情形相比所形成之保護膜PF產生厚度差,於凹部之側壁之下部,與10秒之情形相比形成有較薄之保護膜PF。於將反應氣體R之導入時間設定為1秒之情形時,自遮罩MA與膜EL之交界至凹部之深度方向上約1 μm之位置,形成有於凹部之深度方向上厚度逐漸減小之保護膜PF。但是,於較1 μm靠下之部分基本未形成保護膜PF。
若反應氣體R之導入時間變短,則不論於哪種情形時,均會形成厚度於凹部之深度方向上逐漸減小之保護膜PF。尤其是於將反應氣體R之導入時間設定為1秒之情形時,若將靜電吸盤ESC之溫度控制在10℃,則能抑制較0.6 μm靠下之位置處之保護膜PF之成膜,若控制在60℃,則能抑制較1 μm靠下之位置處之保護膜PF之成膜。如此,根據圖24及圖25所示之實驗結果,可知藉由改變靜電吸盤ESC之溫度,能調整所形成之保護膜PF之厚度與保護膜PF之分佈。
圖26係將圖24及圖25之實驗結果彙總所得之圖。具體而言,圖26係將實驗結果重疊於表示使用擴散方程式等所算出之氧(O 2)電漿之飽和時間與A/R之關聯的曲線圖上而獲得。
如圖26所示,與反應氣體R之導入時間(此處為O 2電漿之照射時間)之變化相對應地,形成膜之下限位置(A/R)亦變化。又,於將靜電吸盤ESC之溫度設定為10℃之情形時與設定為60℃之情形時,形成保護膜PF之下限位置以A/R計存在約20之差(圖26中以箭頭表示之部分)。據此,藉由使靜電吸盤ESC之溫度於例如10℃至60℃之範圍內變動,可使形成保護膜PF之下限位置於約20之A/R之範圍內變動。
因此,於本實施方式中,在預先觀測了會產生彎曲或傾斜等形狀異常之凹部之側壁之位置的基礎上,於應該會產生該形狀異常之側壁之區域形成保護膜PF。又,於本實施方式中,藉由調整載置基板W之靜電吸盤ESC之溫度,而調整凹部之側壁上之保護膜PF之成膜區域。又,於本實施方式中,藉由形成膜厚於凹部之深度方向上逐漸減小之保護膜PF,可於與傾斜形狀或彎曲等凹部之形狀異常對應之區域(位置)形成保護膜PF。
(第7實施方式) 於本實施方式中,在執行圖1之步驟S10~S21之處理之後,將基板W搬入保管室15內。設置於保管室15內之測定裝置對形成於凹部之保護膜PF之狀態進行測定。設置於保管室15內之測定裝置係第2測定裝置之一例。所測得之保護膜PF之狀態例如為保護膜PF之膜厚或殘存有保護膜PF之凹部之側壁上之位置等。然後,控制裝置100根據所測得之保護膜PF之狀態,判定保護膜PF之狀態是否為預先規定之狀態。
於保護膜PF之狀態為預先規定之狀態之情形時,繼續執行步驟S14~S21之處理1次以上,直至形成預先規定之形狀之凹部為止。另一方面,於保護膜PF之狀態並非預先規定之狀態之情形時,控制裝置100基於所測得之保護膜PF之狀態,調整步驟S14~S21之處理中之處理條件之至少一部分以使保護膜PF之狀態變為預先規定之狀態。然後,執行步驟S14~S21之處理1次以上。
[其他] 再者,本申請案所揭示之技術並不限定於上述實施方式,能夠於其主旨之範圍內進行多種變化。
例如,於上述各實施方式中,反覆進行作為保護膜PF之氮化矽膜之向凹部之側壁之成膜、與凹部之進一步之蝕刻,但揭示之技術並不限於此。例如,對圖27(A)所示之基板W進行蝕刻,形成圖27(B)所示之凹部,如圖27(C)所示,於凹部之側壁形成作為保護膜PF1之氮化矽膜。然後,如圖27(D)所示,對凹部進一步進行蝕刻之後,如圖27(E)所示,於凹部之側壁,亦可形成例如作為保護膜PF2之氧化矽膜。然後,如圖27(F)所示,對凹部進一步進行蝕刻。如此,於反覆進行保護膜PF之成膜與凹部之蝕刻之過程中,作為保護膜PF,可至少1次形成作為保護膜PF2之氧化矽膜。保護膜PF2係第3膜之一例。氧化矽膜可於較氮化矽膜更低之溫度條件下成膜。因此,保護膜PF1能以接近進行蝕刻之溫度條件的溫度條件成膜。藉此,能於蝕刻裝置12內形成保護膜PF1。因此,能削減於蝕刻裝置12與成膜裝置14之間搬送基板W之時間,而能提高產能。
再者,應明白,此次所揭示之實施方式於所有方面均為例示,而並非限制性。實際上,上述實施方式能以各種方式來實現。又,上述實施方式可於不脫離隨附之申請專利範圍及其主旨之範圍內,以各種方式進行省略、替換及變更。
1:基板處理系統 10:本體 11:真空搬送室 12:蝕刻裝置 13:真空搬送室 13a:搬送裝置 14:成膜裝置 15:保管室 16:裝載閉鎖室 17:大氣搬送室 17a:搬送裝置 17b:導軌 17c:對準室 18:埠 100:控制裝置 110:搬送裝置 111:導軌 118a:第1板 118b:第2板 120:處理容器 120e:排氣口 120g:開口 121:支持構件 122:直流電源 123:開關 124:流路 126a:配管 126b:配管 128:配管 130:上部電極 132:絕緣構件 134:電極板 134a:氣體噴出口 136:電極支持體 136a:氣體擴散室 136b:氣體流通口 136c:氣體導入口 138:配管 139:配管 140:外壁 141:內壁 142:處理室 143:環狀空間 144:晶舟 145:基底構件 146:氣體供給部 147:加熱器 148a:配管 148b:配管 150:氣體供給部 151:氣體源群 152:流量控制器群 153:閥群 154:積存物遮罩 155:排氣板 157:排氣管 157a:氣體導入口 158:排氣裝置 160:電源 162:第1 RF電源 164:第2 RF電源 166:匹配器 168:匹配器 1460a~1460c:閥 1461a~1461c:MFC 1462a~1462c:氣體源 BM:基材 EL:膜 ER:邊緣環 ESC:靜電吸盤 FW:面 G1:閘閥 G2:閘閥 G3:閘閥 G4:閘閥 G5:閘閥 G6:閘閥 HP:加熱器電源 HT:加熱器 I:抑制因子 IN:抑制劑層 LE:下部電極 MA:遮罩 OP:開口 P:前驅物 PC:備用膜 PD:載置台 PF:保護膜 PF1:保護膜 PF2:保護膜 R:反應氣體 S10:步驟 S11:步驟 S12:步驟 S13:步驟 S14:步驟 S15:步驟 S16:步驟 S17:步驟 S18:步驟 S19:步驟 S20:步驟 S21:步驟 S22:步驟 S30:步驟 S31:步驟 S40:步驟 S50:步驟 S51:步驟 S60:步驟 S61:步驟 S62:步驟 S63:步驟 S70:步驟 S160:步驟 S161:步驟 S162:步驟 S163:步驟 S p:處理空間 W:基板 Z1~Z14:區域
圖1係表示本發明之第1實施方式之基板處理方法之一例的流程圖。 圖2(A)~(D)係表示第1實施方式之基板處理方法之處理過程之一例的圖。 圖3(A)、(B)係表示凹部之深度方向上之CD(Critical Dimension,臨界尺寸)分佈之一例的圖。 圖4係表示基板處理系統之一例之概略俯視圖。 圖5係表示蝕刻裝置之一例之概略剖視圖。 圖6係表示成膜裝置之一例之概略剖視圖。 圖7(A)~(D)係表示第2實施方式之基板處理方法之處理過程之一例的圖。 圖8(A)~(C)係表示第2實施方式之基板處理方法之處理過程之另一例的圖。 圖9係表示第2實施方式之變化例之基板處理方法之一例的流程圖。 圖10(A)~(D)係表示第2實施方式之變化例之基板處理方法之處理過程之一例的圖。 圖11(A)~(D)係表示第2實施方式之變化例之基板處理方法之處理過程之另一例的圖。 圖12係表示第3實施方式之基板處理方法之一例之流程圖。 圖13(A)~(E)係表示第3實施方式之基板處理方法之處理過程之一例的圖。 圖14(A)、(B)係表示凹部之深度方向上之CD分佈之一例的圖。 圖15(A)~(E)係表示處理後之凹部之剖面之一例的圖。 圖16係表示第4實施方式之基板處理方法之一例之流程圖。 圖17(A)~(E)係表示第4實施方式之基板處理方法之處理過程之一例的圖。 圖18係表示第5實施方式之基板處理方法之一例之流程圖。 圖19(A)~(D)係表示第5實施方式之基板處理方法之處理過程之一例的圖。 圖20係表示第6實施方式之基板處理方法之一例之流程圖。 圖21係表示ESC之區域之一例之圖。 圖22係表示ESC之區域之另一例之圖。 圖23係表示ESC之區域之另一例之圖。 圖24係表示第1溫度條件下之凹部之深度方向之CD分佈之一例的圖。 圖25係表示第2溫度條件下之凹部之深度方向之CD分佈之一例的圖。 圖26係將圖24及圖25之結果彙總所得之圖。 圖27(A)~(F)係表示第7實施方式之基板處理方法之處理過程之一例的圖。
S10:步驟
S11:步驟
S12:步驟
S13:步驟
S14:步驟
S15:步驟
S16:步驟
S17:步驟
S18:步驟
S19:步驟
S20:步驟
S21:步驟
S22:步驟
S160:步驟
S161:步驟
S162:步驟
S163:步驟

Claims (23)

  1. 一種基板處理方法,其包含如下工序: a)將具有第1膜及遮罩之基板搬入第1腔室內,上述第1膜為處理對象且形成有凹部,上述遮罩設置於上述第1膜上且在與上述凹部對應之位置形成有開口; b)將上述基板之溫度調整為200℃以上; c)於上述凹部之側壁形成作為第2膜之氮化矽膜; d)將上述基板搬入與上述第1腔室不同之第2腔室內; e)將上述基板之溫度調整為100℃以下;及 f)對上述凹部之底部進行蝕刻;且 上述c)包含如下工序: c-1)藉由向上述第1腔室內供給含有矽之反應物種,而使含有矽之反應物種吸附於上述凹部之側壁;及 c-2)藉由向上述第1腔室內供給含有氮之反應物種,使吸附於上述凹部之側壁之上述含有矽之反應物種與上述含有氮之反應物種進行反應,而於上述凹部之側壁形成上述第2膜;且 上述第2膜之膜厚為20 nm以下, 上述第2膜之在上述凹部之底部之膜厚相對於在上述凹部之上部側壁之膜厚的比為0.7以上, 將上述a)~上述f)依序反覆執行2次以上,直至自上述遮罩之開口部至上述凹部之底部之深度尺寸相對於上述遮罩之開口尺寸的比即深寬比變為50以上為止。
  2. 如請求項1之基板處理方法,其進而包含如下工序:於上述a)之前,介隔上述遮罩對上述第1膜進行蝕刻而形成上述凹部;且 於執行形成上述凹部之工序之前,上述遮罩之厚度為2000 nm以上, 上述遮罩之深寬比為20以上。
  3. 如請求項1或2之基板處理方法,其中上述a)中所搬入之上述基板之自上述遮罩上部至上述凹部之底部之深度尺寸相對於上述遮罩之開口尺寸的比為10以上。
  4. 如請求項1至3中任一項之基板處理方法,其中於將上述a)~上述f)反覆執行2次以上直至上述深寬比變為50以上之後,自上述遮罩上部至上述凹部之底部之深度尺寸相對於上述遮罩之開口尺寸的比為10以下。
  5. 如請求項1至4中任一項之基板處理方法,其中上述含有氮之反應物種係藉由將含有氮之氣體電漿化而生成。
  6. 如請求項1至5中任一項之基板處理方法,其中上述c)包含如下工序: c-0)藉由於上述第1腔室內將包含氮及氫之氣體電漿化,而利用胺基將上述基板之表面封端;且 上述c-0)係於上述c-1)之前執行。
  7. 如請求項1至6中任一項之基板處理方法,其中於上述c)中,在上述凹部之側壁形成10 nm以下之上述第2膜。
  8. 如請求項1至7中任一項之基板處理方法,其中對於上述基板,於上述第1膜之上設置有遮罩膜, 於上述c)中,上述第2膜亦形成於上述遮罩膜之表面, 形成於上述遮罩膜之側壁之上述第2膜的厚度較形成於上述凹部之表面之上述第2膜的厚度厚。
  9. 如請求項1至8中任一項之基板處理方法,其中於上述c-2)中,對構成載置上述基板之載置台之下部電極施加偏壓電壓。
  10. 如請求項1至9中任一項之基板處理方法,其中上述第1膜具有氧化矽膜及氮化矽膜,且 上述第1膜所具有之氮化矽膜與上述第2膜係矽原子及氮原子之含有比率不同。
  11. 如請求項1至10中任一項之基板處理方法,其中上述第1膜具有氧化矽膜及氮化矽膜,且 上述第1膜所具有之氮化矽膜與上述第2膜係膜密度不同。
  12. 如請求項1至11中任一項之基板處理方法,其中上述第1膜具有氧化矽膜及氮化矽膜,且 上述第1膜所具有之氮化矽膜與上述第2膜係晶體結構不同。
  13. 如請求項1至12中任一項之基板處理方法,其中上述深寬比越高,則供給上述含有矽之反應物種之時間及供給上述含有氮之反應物種之時間中之至少任一者越長。
  14. 如請求項1至13中任一項之基板處理方法,其中上述基板處理方法係使用基板處理系統來執行, 上述基板處理系統具備: 批次式成膜裝置,其能夠收容複數個上述基板;及 單片式之複數個蝕刻裝置;且 上述第1腔室為上述成膜裝置, 上述第2腔室為上述蝕刻裝置。
  15. 如請求項1至14中任一項之基板處理方法,其進而包含如下工序:g)於第3腔室內,在藉由上述f)所形成之凹部之側壁形成作為第3膜之氧化矽膜;且 上述g)係於上述f)之後執行, 於執行上述g)之後,進一步執行上述f)。
  16. 如請求項15之基板處理方法,其中上述第2腔室與上述第3腔室為相同之腔室。
  17. 一種基板處理方法,其包含如下工序: h)提供於第1膜形成有凹部之基板; i)將上述基板之溫度調整為200℃以上; j)於上述凹部之側壁形成作為第2膜之氮化矽膜;及 k)對上述凹部之底部進行蝕刻。
  18. 一種基板處理系統,其包含: 第1腔室,其收容成為處理對象之第1膜上形成有凹部之基板; 第1溫度調整部,其調整收容於上述第1腔室內之上述基板之溫度; 第1氣體供給部,其向上述第1腔室內供給氣體; 第2腔室,其收容上述基板,且與上述第1腔室不同; 第2溫度調整部,其調整收容於上述第2腔室內之上述基板之溫度; 第2氣體供給部,其向上述第2腔室內供給氣體; 搬送裝置,其於上述第1腔室與上述第2腔室之間搬送上述基板;及 控制裝置;且 上述控制裝置執行如下工序: a)以將上述基板搬入上述第1腔室內之方式控制上述搬送裝置; b)以上述基板之溫度變為200℃以上之方式控制上述第1溫度調整部; c)以於上述凹部之側壁形成作為第2膜之氮化矽膜之方式,控制上述第1氣體供給部; d)以將上述基板搬入上述第2腔室內之方式控制上述搬送裝置; e)以上述基板之溫度變為100℃以下之方式控制上述第2溫度調整部;及 f)以對上述凹部之底部進行蝕刻之方式,控制上述第2氣體供給部。
  19. 如請求項18之基板處理系統,其中上述第1腔室係能夠收容複數個上述基板之批次式成膜裝置, 上述第2腔室係單片式蝕刻裝置。
  20. 如請求項19之基板處理系統,其中上述搬送裝置包含: 第1搬送裝置,其進行上述基板之向上述第1腔室之搬入、及上述基板之自上述第1腔室之搬出;及 第2搬送裝置,其進行上述基板之向上述第2腔室之搬入、及上述基板之自上述第2腔室之搬出;且 上述第1搬送裝置能夠於鉛直方向搬送上述基板。
  21. 如請求項18至20中任一項之基板處理系統,其包含對上述凹部之形狀進行測定之第1測定裝置;且 上述控制裝置係 基於上述第1測定裝置所測得之上述凹部之形狀,改變上述第2膜之成膜條件、及上述凹部之底部之蝕刻條件中之至少任一者。
  22. 如請求項18至21中任一項之基板處理系統,其包含對上述第2膜之狀態進行測定之第2測定裝置;且 上述控制裝置係 基於上述第2測定裝置所測得之上述第2膜之狀態,改變上述第2膜之成膜條件、及上述凹部之底部之蝕刻條件中之至少任一者。
  23. 如請求項18至22中任一項之基板處理系統,其進而包含保管室,該保管室係於執行上述c)之後且開始上述d)之前之期間內,保管已執行上述c)之工序之上述基板。
TW110141129A 2020-11-17 2021-11-04 基板處理方法及基板處理系統 TW202225444A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020190713A JP2022079865A (ja) 2020-11-17 2020-11-17 基板処理方法および基板処理システム
JP2020-190713 2020-11-17

Publications (1)

Publication Number Publication Date
TW202225444A true TW202225444A (zh) 2022-07-01

Family

ID=81548504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110141129A TW202225444A (zh) 2020-11-17 2021-11-04 基板處理方法及基板處理系統

Country Status (4)

Country Link
JP (1) JP2022079865A (zh)
KR (1) KR20220068180A (zh)
CN (1) CN114512398A (zh)
TW (1) TW202225444A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024005047A1 (ja) * 2022-07-01 2024-01-04 東京エレクトロン株式会社 基板処理装置の制御方法及び基板処理システム
WO2024069683A1 (ja) * 2022-09-26 2024-04-04 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6840609B2 (ja) 2017-04-19 2021-03-10 株式会社高垣製作所 麺線切出装置

Also Published As

Publication number Publication date
US20220157616A1 (en) 2022-05-19
CN114512398A (zh) 2022-05-17
JP2022079865A (ja) 2022-05-27
KR20220068180A (ko) 2022-05-25

Similar Documents

Publication Publication Date Title
US11961746B2 (en) Substrate processing method and substrate processing apparatus
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
KR102503141B1 (ko) 펄스화된 질화물 캡슐화
US9508546B2 (en) Method of manufacturing semiconductor device
US10153172B2 (en) Etching method and recording medium
TW201715604A (zh) 用於經由原子層沉積循環之蝕刻的方法
TW202225444A (zh) 基板處理方法及基板處理系統
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
CN110783188A (zh) 蚀刻方法和蚀刻装置
US20240003010A1 (en) Backside deposition and local stress modulation for wafer bow compensation
JP2017147417A (ja) 基板処理方法
US10546753B2 (en) Method of removing silicon oxide film
TW201907480A (zh) 形成鈦矽化物區域之方法
US20230002894A1 (en) Shadow ring lift to improve wafer edge performance
US9646818B2 (en) Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US11996296B2 (en) Substrate processing method and substrate processing system
KR20190015132A (ko) 피처리체를 처리하는 방법
US20220235462A1 (en) Film forming method and film forming apparatus
WO2022224863A1 (ja) 成膜方法及び成膜装置
WO2023157678A1 (ja) シリコン窒化膜の形成方法及び成膜装置
TWI837174B (zh) 沉積介電材料之方法
JP7209567B2 (ja) エッチング方法およびエッチング装置
TW202225442A (zh) 間隙填充的非晶碳