TW201907480A - 形成鈦矽化物區域之方法 - Google Patents

形成鈦矽化物區域之方法 Download PDF

Info

Publication number
TW201907480A
TW201907480A TW107113481A TW107113481A TW201907480A TW 201907480 A TW201907480 A TW 201907480A TW 107113481 A TW107113481 A TW 107113481A TW 107113481 A TW107113481 A TW 107113481A TW 201907480 A TW201907480 A TW 201907480A
Authority
TW
Taiwan
Prior art keywords
titanium
gas
film
workpiece
region
Prior art date
Application number
TW107113481A
Other languages
English (en)
Other versions
TWI750364B (zh
Inventor
山崎英亮
田中健作
小林裕史
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201907480A publication Critical patent/TW201907480A/zh
Application granted granted Critical
Publication of TWI750364B publication Critical patent/TWI750364B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一種能相對於鈦矽化物區域來選擇性地去除含鈦區域的方法。
一實施形態之方法中,係在被加工物之矽層上形成有含鈦區域及鈦矽化物區域。接著,為了相對於鈦矽化物區域來選擇性地蝕刻含鈦區域,而對包含含鈦區域及鈦矽化物區域的被加工物供給有含氟氣體。

Description

形成鈦矽化物區域之方法
本揭露之實施形態係關於一種形成鈦矽化物區域之方法。
在所謂半導體元件之電子元件的製造中,為了於矽層上形成接觸部,便會形成有鈦矽化物區域之情事。關於形成鈦矽化物的方法係記載於專利文獻1及專利文獻2。
專利文獻1及專利文獻2所記載的方法中,被加工物係具有矽基板以及形成於該矽基板上的絕緣膜。絕緣膜會形成有使矽基板部分露出之開口。專利文獻1及專利文獻2所記載的方法中,係於絕緣膜及矽基板上形成有鈦膜,而在該鈦膜之全區域中從矽基板上的區域來形成鈦矽化物區域。之後,便相對於鈦矽化物區域來選擇性地蝕刻鈦膜。在鈦膜之蝕刻中會使用四氯化鈦氣體。
【先前技術文獻】
【專利文獻】
專利文獻1:日本特開平10-308360號公報
專利文獻2:日本特開2001-210713號公報
在利用四氯化鈦氣體的鈦膜之蝕刻中,會隨著蝕刻時間的經過而使蝕刻速率下降。從而,在將四氯化鈦氣體用於蝕刻時,不僅是鈦矽化物區域,還會殘留有鈦膜。因此,便需要能相對於矽鈦化物區域來選擇性地蝕刻含鈦區域。
一態樣中,係提供一種形成鈦矽化物區域之方法。此方法係包含:進行用以使被加工物之矽層的乾淨面露出之前處理的工序;在進行前處理之工序實行後,於矽層上形成含鈦區域及鈦矽化物區域的工序;以及為了相對於鈦矽化物區域來選擇性地蝕刻含鈦區域,而對包含含鈦區域及鈦矽化物區域的被加工物供給含氟氣體的工序。
一態樣相關之方法中,係在含鈦區域之蝕刻使用含氟氣體。藉由含氟氣體,便可相對於鈦矽化物區域來選擇性地去除含鈦區域。
一實施形態中,含氟氣體係三氟化氯氣體。一實施形態中,三氟化氯氣體之流量會被設定在5sccm以下。
一實施形態中,含鈦區域係由鈦、氧化鈦以及氮化鈦中至少一者所形成。
如上述說明般,便可相對於鈦矽化物區域來選擇性地去除含鈦區域。
1‧‧‧處理系統
LM‧‧‧裝載模組
LL1、LL2‧‧‧裝載互鎖模組
TM‧‧‧搬送模組
PM1、PM2、PM3、PM4‧‧‧程序模組
10A‧‧‧成膜裝置
10B‧‧‧蝕刻裝置
12‧‧‧腔室本體
12c‧‧‧腔室
14‧‧‧載置台
36‧‧‧噴淋頭
41、42、43、44‧‧‧氣體源
50‧‧‧高頻電源
W‧‧‧被加工物
SL‧‧‧矽層
IL‧‧‧絕緣膜
R1‧‧‧含鈦區域
R2‧‧‧鈦矽化物區域
MT‧‧‧方法
圖1係顯示一實施形態相關的形成鈦矽化物區域之方法的流程圖。
圖2係一範例之被加工物的部分放大剖面圖。
圖3係顯示可利用圖1所示的方法的處理系統之圖式。
圖4係顯示可用於圖3之處理系統的成膜裝置之圖式。
圖5係顯示可用於圖3之處理系統的蝕刻裝置之圖式。
圖6係實行圖1所示之方法中所得到的被加工物之部分放大剖面圖。
圖7係實行圖1所示之方法後的被加工物的部分放大剖面圖。
圖8係顯示使用四氯化鈦氣體的蝕刻中,鈦膜在蝕刻後的膜厚之時間依存性,以及使用三氟化氯氣體的蝕刻中,鈦膜在蝕刻後的膜厚之時間依存性的圖表。
圖9的圖9(a)係顯示使用三氟化氯氣體來蝕刻鈦膜及鈦矽化物膜的流量依存性之圖表,圖9(b)係顯示使用三氟化氯氣體來蝕刻氧化鈦膜及鈦矽化物膜的流量依存性之圖表。
圖10的圖10(a)係顯示使用三氟化氯氣體來蝕刻鈦膜及鈦矽化物膜的時 間依存性之圖表,圖10(b)係顯示使用三氟化氯氣體來蝕刻氧化鈦膜及鈦矽化物膜的時間依存性之圖表,圖10(c)係顯示使用三氟化氯氣體來蝕刻氮化鈦膜及鈦矽化物膜的時間依存性之圖表。
圖11的圖11(a)係顯示使用三氟化氯氣體來蝕刻鈦膜及鈦矽化物膜的溫度依存性之圖表,圖11(b)係顯示使用三氟化氯氣體來蝕刻鈦膜及鈦矽化物膜的壓力依存性之圖表。
以下,便參照圖式就各種實施形態來詳細說明。另外,各圖式中係對相同或相當的部分附加相同符號。
圖1係顯示一實施形態相關的形成鈦矽化物區域之方法的流程圖。圖1所示之方法MT係為了在被加工物上形成鈦矽化物區域而加以實行。圖2係一範例之被加工物的部分放大剖面圖。方法MT係可使用在圖2所示之被加工物W。
如圖2所示,被加工物W係具有矽層SL及絕緣膜IL。矽層SL係由矽所形成。絕緣膜IL係形成在矽層SL上。絕緣膜IL係由例如氧化矽所形成。絕緣膜IL係形成有開口。絕緣膜IL之開口會讓矽層SL表面部分露出。
圖3係可利用圖1所示的方法的處理系統之圖式。方法MT可使用圖3所示之處理系統來加以實行。圖3所示之處理系統1係具備有:台座2a,2b,2c,2d;容器4a,4b,4c,4d;裝載模組LM;對位器AN;裝載互鎖模組LL1,LL2;搬送模組TM;以及程序模組PM1,PM2,PM3,PM4。另外,處理系統1之台座個數、容器個數、裝載互鎖模組個數可為1個以上的任意個數。
台座2a,2b,2c,2d會沿著裝載模組LM的一緣側來加以配列。容器4a,4b,4c,4d會分別被配置於台座2a,2b,2c,2d上。容器4a,4b,4c,4d會構成為將被加工物W收納於其內部。各容器4a,4b,4c,4d可為被稱為FOUP(Front-Opening Unified Pod)的容器。
裝載模組LM係於其內部提供有腔室LC。腔室LC之壓力會設定為大氣壓。裝載模組LM係具備有搬送裝置TU1。搬送裝置TU1為例如多關節機器 人。搬送裝置TU1係構成為在各容器4a,4b,4c,4d與對位器AN之間,在對位器AN與各裝載互鎖模組LL1,LL2之間,在各容器4a,4b,4c,4d與各裝載互鎖模組LL1,LL2之間,透過腔室LC來搬送被加工物W。對位器AN會連接於裝載互鎖模組LM。對位器AN會在其內部校正被加工物W之位置。
裝載互鎖模組LL1,LL2會設置於裝載模組LM與搬送模組TM之間。各裝載互鎖模組LL1,LL2會提供預備減壓室。裝載互鎖模組LL1,LL2的各預備減壓室與腔室LC之間係設置有閘閥。
搬送模組TM會在其內部提供腔室TC。腔室TC係構成為可減壓。在腔室TC與各裝載互鎖模組LL1,LL2之間係設置有閘閥。搬送模組TM係具有搬送裝置TU2。搬送裝置TU2係例如多關節之機器人。搬送裝置TU2係構成為在各裝載互鎖模組LL1,LL2與各程序模組PM1,PM2,PM3,PM4之間,在程序模組PM1,PM2,PM3,PM4中的任兩個程序模組之間,透過腔室TC來搬送被加工物W。
各程序模組PM1,PM2,PM3,PM4係專門實行基板處理的裝置。各程序模組PM1,PM2,PM3,PM4的腔室會透過閘閥來連接於腔室TC。方法MT的下述工序ST1會使用程序模組PM1及程序模組PM4來加以實行。一範例中,程序模組PM1會將氟化氫氣體與氨氣的混合氣體供給至減壓後之腔室。藉此,來使被加工物W之矽層SL表面構成氧化膜(自然氧化膜)之氧化矽改質為氟矽酸銨。之後,被加工物W便會被搬送至程序模組PM4。程序模組PM4會在減壓後之腔室內加熱被加工物W。在加熱被加工物W時,氟矽酸銨便會氣化,而所產生的氣體會被排氣。藉此,來去除氧化膜。之後,被加工物W便會被搬送至程序模組PM2。
方法MT的下述工序ST2會使用程序模組PM2來加以實行。程序模組PM2係用以形成含鈦區域以及鈦矽化物區域的成膜裝置。在程序模組PM2中,含鈦區域及鈦矽化物區域形成於其上的被加工物W會被搬送至程序模組PM3。方法MT的下述工序ST3會使用程序模組PM3來加以實行。程序模組PM3係用以蝕刻含鈦區域的蝕刻裝置。
處理系統1可進一步地具備有控制部MC。控制部MC會構成為在方法MT之實行中控制處理系統1之各部。控制部MC可為具備有處理器(例如 CPU)、所謂記憶體的記憶裝置以及控制訊號的輸出入介面的電腦裝置。記憶裝置係記憶有控制程式及配方資料。處理器會藉由依照控制程式及配方資料而動作,來將控制訊號傳送至處理系統1之各部。藉由此般控制部MC之動作,便可實行方法MT。
圖4係顯示可用於圖3之處理系統的成膜裝置之圖式。圖3所示之成膜裝置10A可被採用來作為程序模組PM2。成膜裝置10A係具備有腔室本體12。腔室本體12係具有略筒形狀。腔室本體12會提供其內部空間來作為腔室12c。腔室12c係包含有在其中處理被加工物W的處理空間12p。腔室本體12係由例如金屬所形成。腔室12c內係設置有載置台14。載置台14會構成為能支撐被加工物W。腔室本體12側壁係形成有通道12t。被加工物W在從腔室12c外部而被搬入至腔室12c時,以及從腔室12c而被搬出至腔室12c外部時,會通過通道12t。腔室本體12側壁係設置有用以開閉通道12t之閘閥12g。
腔室本體12上係設置有排氣導管13。排氣導管13係由金屬所形成。排氣導管13會以圍繞處理空間12p的方式來延伸於周圍方向。排氣導管13內側之壁部係形成有狹縫狀之開口13a。處理空間12p內之氣體會從開口13a來流進至朝排氣導管13內。排氣導管13外側之壁部係形成有排氣口13e。排氣口13e係連接有排氣部16。排氣部16係具有所謂壓力調整閥之壓力調整裝置以及所謂真空泵之減壓泵。流入至排氣導管13內之氣體會透過排氣口13e來被排出。
載置台14會在腔室12c內被配置於較排氣導管13要靠內側。載置台14係具有略圓盤形狀,且會由例如陶瓷或金屬所形成。載置台14內部係內建有加熱器。藉由使載置台14內之加熱器發熱,來加熱被加工物W。另外,載置台14為了保持被加工物W係可具有靜電夾具。
載置台14會提供於其上載置被加工物W之載置區域。載置台14係安裝有蓋體構件18。蓋體構件18會以覆蓋載置台14之載置區域的外周側區域以及載置台14側面的方式來加以延伸。
載置台14周圍係設置有引導環54。引導環54係由絕緣體,例如氧化鋁所形成。引導環54係具有略圓筒形狀。引導環54上端會形成為鍔狀。引導環54上端會藉由排氣導管13來被加以支撐。引導環54與蓋體構件18之間係 形成有間隙。藉由此引導環54便可控制載置台14周圍之氣體流向。
載置台14會透過支撐構件20及支撐台22來連接於升降機構24。支撐構件20會通過腔室本體12底部所形成之開口,而延伸至腔室本體12外部。支撐構件20一端會連結於載置台14,支撐構件20另端則會連接於升降機構24。支撐台22會設置於支撐構件20一端與另端之間。腔室本體12底部與支撐台22之間係以圍繞支撐構件20的方式來設置有波紋管26。波紋管26一端會連接於腔室本體12,其另端則會連接於支撐台22。藉由此波紋管26,便可確保腔室本體12底部之氣密。
升降機構24會在第1位置與第2位置之間讓載置台14進行升降移動。在載置台14位於第1位置(圖4中一點鏈線所示之位置)時,被加工物W會在載置台14與搬送裝置TU2之間進行收授。在載置台14位於第2位置(圖4所示之載置台14的位置)時,載置台14上所載置之被加工物W便會被處理。載置台14下方係設置有複數支撐銷28。在複數支撐銷28透過載置台14之貫穿口而從該載置台14上面來突出時,被加工物W便會從載置台14分離,而藉由複數支撐銷28來加以支撐。
排氣導管13上面側係設置有支撐板30。支撐板30係具有略圓板形狀,且會由金屬所形成。排氣導管13與支撐板30之間係設置有環狀之絕緣構件31。絕緣構件31與支撐板30之間係設置有O型環32。藉由O型環32便可確保腔室12c之氣密。又,藉由絕緣構件31,便可從排氣導管13來將支撐板30電性絕緣。支撐板30下面側係固定有金屬製之頂板34。
頂板34下面側係形成有凹部。頂板34下面側係以覆蓋該頂板34之凹部的方式來設置有噴淋頭36。噴淋頭36係金屬製。噴淋頭36係具有略圓盤形狀。噴淋頭36中央區域會提供略平坦的下面,並會對向於載置台14之載置區域。噴淋頭36之周緣區域會較其中央區域要朝下方突出。
在將載置台14配置於第2位置時,噴淋頭36之周緣區域會透過間隙來對向於覆蓋構件18。由噴淋頭36與載置台14所圍繞出之空間便會成為處理空間12p。
藉由噴淋頭36所覆蓋之頂板34的凹部會成為氣體擴散室34a。噴淋頭36係形成有多數氣體噴出孔36a。供給至氣體擴散室34a的氣體會從氣體噴出孔 36a來朝處理空間12p噴出,而供給至被加工物W。
氣體擴散室34a內係設置有複數氣體擴散部38。複數氣體擴散部38會配置為例如同心圓狀。複數各氣體擴散38係具有在上端有開口,而在下端被閉塞的圓筒形狀。複數各氣體擴散部38側壁係形成有複數氣體噴出孔。複數氣體噴出孔會沿著周圍方向來加以配列。複數各氣體擴散部38的上端開口會連接於頂板34所形成的氣體供給路徑34b一端。
頂板34上面與支撐板30下面之間係形成有擴散室30a。氣體供給路徑34b的另端會連接於擴散室30a。支撐板30係形成有第1供給路徑30b與第2供給路徑30c。第1供給路徑30b一端及第2供給路徑30c一端會連接於擴散室30a。
第1供給路徑30b另端會透過流量控制器及開關閥來連接於非活性氣體源41。從氣體源41所輸出之非活性氣體可為所謂氬氣之稀有氣體。又,第1供給路徑30b另端會透過流量控制器及開關閥來連接於氫氣(H2氣體)源42。第1供給路徑30b另端會透過流量控制器及開關閥來連接於氨氣(NH3氣體)源45。第2供給路徑30c另端會透過流量控制器及開關閥來連接於非活性氣體源43。從氣體源43所輸出之非活性氣體可為所謂氬氣之稀有氣體。又,第2供給路徑30c另端會透過流量控制器及開關閥來連接於含鈦氣體源44。含鈦氣體為例如四氯化鈦氣體。
支撐板30係透過匹配器51來連接有高頻電源50。高頻電源50係產生電漿生成用之高頻(高頻電能)的電源。藉由高頻電源50所產生之高頻頻率係例如450kHz或13.56MHz。支撐板30、頂板34以及噴淋頭36會互相電性連接,而構成供給有電漿生成用高頻的上部電極。
上部電極周圍係設置有絕緣構件52。絕緣構件52係由例如石英所形成。絕緣構件52係具有略圓筒形狀。絕緣構件52與上部電極外周面之間係形成有間隙。絕緣構件52下端面會在垂直方向中與上部電極之下端面(噴淋頭36之周緣區域下端面)設置為略相同水平。絕緣構件52會將腔室本體12及排氣導管13從上部電極電性絕緣。
載置台14內係設置有下部電極14a。下部電極14a與上部電極會構成平行平板電極。一範例中,載置台14係陶瓷製,下部電極14a係具有略圓板形 狀,且會被內藏於載置台14。下部電極14a會接地。另外,亦可使高頻電源50會透過匹配器51來連接於下部電極14a,而使上部電極接地。另外,亦可以使載置台14整體具有作為下部電極之機能的方式來使用金屬製之載置台加熱器。在支撐構件20亦為金屬製的情況,亦可在支撐構件20與支撐台22之間設置有絕緣構件,而使支撐構件20與支撐台22會互相電性絕緣。
成膜裝置10A中,係在將高頻供給至上部電極時,會藉由在上部電極與下部電極14a之間所形成的高頻電場來激發處理空間12p內之氣體。藉此,便可將含鈦區域形成於被加工物W上。又,藉由以載置台14之加熱器來加熱被加工物W,便可使矽層SL上之鈦改質為鈦矽化物,而形成鈦矽化物區域。
成膜裝置10A會連接於控制部MC。藉由來自控制部MC的控制訊號,便可控制成膜裝置10A之各部,而使成膜裝置10A之各部動作。
圖5係顯示可用於圖3之處理系統的蝕刻裝置之圖式。圖5所示之蝕刻裝置10B可具有與成膜裝置10A相同之構成。蝕刻裝置10B中,氣體源44係含氟氣體源。含氟氣體係例如三氟化氯氣體。含氟氣體可為氟化氫氣體或氟氣(F2氣體)。蝕刻裝置10B之各部會藉由控制部MC來加以控制。蝕刻裝置10B係在將被加工物W載置於載置台14上的狀態下,藉由載置台14之加熱器來加熱被加工物W。又,會將含氟氣體供給至處理空間12p。然後,藉由從含氟氣體所生成之分子或原子,來蝕刻被加工物W之含鈦區域。
再度參照圖1,就方法MT來加以說明。以下說明中,係以使用具有成膜裝置10A及蝕刻裝置10B的處理系統1,來對圖2所示之被加工物W適用方法MT的情況為範例來說明方法MT。以下說明中,除了圖1之外還一併參照圖6及圖7。圖6係實行圖1所示之方法中所得到的被加工物之部分放大剖面圖。圖7係實行圖1所示之方法後的被加工物的部分放大剖面圖。
如圖1所示,方法MT首先會實行工序ST1。工序ST1係對圖2所示之被加工物W施予前處理。前處理係為了使被加工物W之矽層SL的乾淨面露出而進行。前處理會去除被加工物W之矽層SL表面的氧化膜(自然氧化膜)。具體而言,工序ST係將被加工物W搬送至程序模組PM1。然後,將氟化氫氣體與氨氣的混合氣體供給至程序模組PM1內之減壓後腔室。藉此,來將被加 工物W之矽層SL表面構成氧化膜之氧化矽改質為氟矽酸銨。接著,便將被加工物W搬送至程序模組PM4。然後,在程序模組PM4內之減壓後腔室內加熱被加工物W。被加工物W會被加熱在例如50℃以上,500℃以下的範圍內之溫度,更佳地係150℃以上,200℃以下。藉此,來使氟矽酸銨氣化。所產生之氣體會被排氣。藉此來去除氧化膜。之後,將被加工物W搬送至程序模組PM2,亦即成膜裝置10A。
接著的工序ST2係在適用前處理後之被加工物W上形成有含鈦區域及鈦矽化物區域。具體而言,工序ST2會在將被加工物W載置於成膜裝置10A之載置台14上的狀態下來加以實行。工序ST2會藉由載置台14之加熱器來加熱被加工物W。被加工物W會被加熱至300℃以上,800℃以下的範圍內之溫度。例如,將被加工物加熱至400℃以上到500℃。工序ST2會將含鈦氣體(例如四氯化鈦氣體)、氫氣以及非活性氣體(例如氬氣)供給至成膜裝置10A之處理空間12p。然後,便將高頻施加至成膜裝置10A之上部電極。工序ST2便會藉此,來在處理空間12p中生成電漿,而將含鈦氣體之分子裂解,來將鈦膜形成於被加工物W上。鈦膜如圖6所示,係在絕緣膜IL上形成含鈦區域R1。含鈦區域R1係由鈦所形成。鈦膜會在矽層SL上與矽鍵結,而形成矽鈦化物區域R2。另外,可在鈦膜成膜後,將含氮氣體(例如NH3氣體)供給至處理空間12p,來氮化含鈦區域R1表面。在此情況,含鈦區域R1會從表面側來被氮化而改質為氮化鈦膜。由於鈦矽化物區域R2係鈦膜與矽鍵結的區域,故幾乎不會改質。圖6所示之被加工物W會在工序ST2實行後,被搬送至程序模組PM3,亦即蝕刻裝置10B。
接著的工序ST3係相對於鈦矽化物區域R2來選擇性地蝕刻含鈦區域R1。工序ST3會在將被加工物W載置於蝕刻裝置10B之載置台14上的狀態下來加以實行。工序ST3會藉由載置台14之加熱器來加熱被加工物W。被加工物W會被加熱至50℃至500℃,更佳地係150℃以上,200℃以下的範圍內之溫度。又,工序ST3會將含氟氣體供給至蝕刻裝置10B之處理空間12p。含氟氣體係三氟化氯氣體。含氟氣體亦可為氟化氫氣體或氟氣(F2氣體)。工序ST3係將三氟化氯氣體之流量設定在10sccm以下或5sccm以下的流量。又,工序ST3係將處理空間12p之壓力設定在0.5Torr(66.6Pa)以上,10Torr(1330Pa)以 下的範圍內之壓力。工序ST3除了含氟氣體以外,亦可將氫氣(H2氣體)及/或所謂氬氣之非活性氣體供給至處理空間12p。工序ST3如圖7所示,係藉由從含氟氣體所生成之分子或原子來選擇性地蝕刻含鈦區域R1。
方法MT係將含氟氣體用於含鈦區域R1之蝕刻。藉由含氟氣體,便可相對於鈦矽化物區域R2來選擇性地去除含鈦區域R1。從而,便可相對於鈦矽化物區域R2來選擇性地去除含鈦區域R1。
不限於上述實施形態而可構成為各種變形態樣。例如上述實施形態中,含鈦區域R1雖可由鈦所形成,但方法MT亦可是為了相對於鈦矽化物區域來選擇性地蝕刻包含氧化鈦及/或氮化鈦的含鈦區域而加以使用。又,工序ST3除了含氟氣體之外,還可供給氫氣(H2氣體)。或者,亦可取代氫氣而將所謂氨氣(NH3)之含氫氣體與含氟氣體一同地供給。
以下,就為了評價方法MT而進行的各種實驗來加以說明。
(第1實驗)
第1實驗係在蝕刻裝置10B中使用三氟化氯(ClF3)氣體,來進行鈦膜之蝕刻。又,在蝕刻裝置10B使用四氯化鈦(TiCl4)氣體,來進行鈦膜之蝕刻。以下,便顯示第1實驗的各項條件。
<第1實驗之各項條件>
‧使用ClF3氣體的情況
處理空間12p之壓力:1Torr(133Pa)
具有鈦膜之被加工物之溫度:200℃
ClF3氣體之流量:10sccm
添加氣體(Ar氣體)之流量:3990sccm
‧使用TiCl4氣體的情況
處理空間12p之壓力:3Torr(400Pa)
具有鈦膜之被加工物之溫度:480℃
TiCl4氣體之流量:180sccm
添加氣體(Ar氣體)之流量:1000sccm
第1實驗中,係調查了蝕刻時間與因蝕刻而殘留的鈦膜之膜厚的關係。將其結果顯示於圖8。圖8中,橫軸係表示蝕刻時間,縱軸則表示因蝕刻而 殘留的鈦膜之膜厚。如圖8所示,在使用TiCl4氣體的情況,於蝕刻時間為10秒以上時,便會使鈦膜之膜厚的減少量極端地下降。亦即,在使用TiCl4氣體的情況,雖鈦膜之膜厚會減少2nm左右,但在鈦膜之膜厚減少2nm左右後,該鈦膜之蝕刻速率便會極端地變慢。另一方面,在使用ClF3氣體的情況,於蝕刻時間為10秒時,鈦膜之膜厚便會為零。亦即,在使用ClF3氣體的情況,於蝕刻時間為10秒時,便會將鈦膜完全地去除。從而,第1實驗的結果係確認到藉由使用ClF3氣體,便可去除鈦膜。此蝕刻速率之差異推測是因為鈦之氟化物相較於鈦之氯化物會較易形成,及/或鈦之氟化物的氣化速度會較鈦之氯化物的氣化速度要高之故。
(第2實驗)
第2實驗中,係調查了鈦(Ti)膜、氧化鈦(TiO2)膜以及鈦矽化物(TiSiX)膜之蝕刻相對於ClF3氣體之流量的依存性。第2實驗中,係在蝕刻裝置10B中使用ClF3氣體,來蝕刻鈦(Ti)膜、氧化鈦(TiO2)膜以及鈦矽化物(TiSiX)膜。以下,便顯示第2實驗之各項條件。
<第2實驗之各項條件>
處理空間12p之壓力:3Torr(400Pa)
被加工物之溫度:160℃
添加氣體(Ar氣體)之流量:12600sccm
蝕刻時間:60秒
於圖9(a)顯示使用ClF3氣體來蝕刻Ti膜及TiSiX膜的流量依存性,於圖9(b)顯示使用ClF3氣體來蝕刻TiO2膜及TiSiX膜的流量依存性。在圖9(a)及圖9(b)之圖表中,橫軸係表示ClF3氣體之流量,左側之縱軸係表示蝕刻量,亦即各膜之膜厚的減少量。圖9(a)之圖表中,右側之縱軸係表示選擇比,亦即Ti膜之蝕刻量除以TiSiX膜之蝕刻量所得到之數值。圖9(b)之圖表中,右側之縱軸係表示選擇比,亦即TiO2膜之蝕刻量除以TiSiX膜之蝕刻量所得到之數值。如圖9(a)及圖9(b)所示,確認到在使用ClF3氣體時,於其流量為10sccm以下或5sccm以下的情況,便可得到較1.5要大的選擇比。亦即,確認到藉由ClF3氣體,便可相對於鈦矽化物來選擇性地蝕刻鈦及氧化鈦。
(第3實驗)
第3實驗中,係調查了相對於使用ClF3氣體來蝕刻鈦(Ti)膜、氧化鈦(TiO2)膜、氮化鈦(TiN)膜以及鈦矽化物(TiSiX)膜的時間之依存性。第3實驗中,係在蝕刻裝置10B中使用ClF3氣體,來蝕刻鈦(Ti)膜、氧化鈦(TiO2)膜、氮化鈦(TiN)膜以及鈦矽化物(TiSiX)膜。以下,便顯示第3實驗之各項條件。
<第3實驗之各項條件>
處理空間12p之壓力:3Torr(400Pa)
ClF3氣體之流量:1,5sccm
被加工物之溫度:160℃
添加氣體(Ar氣體)之流量:12600sccm
於圖10(a)顯示使用ClF3氣體來蝕刻Ti膜及TiSiX膜的時間依存性,於圖10(b)顯示使用ClF3氣體來蝕刻TiO2膜及TiSiX膜的時間依存性,於圖10(c)顯示使用ClF3氣體來蝕刻TiN膜及TiSiX膜的時間依存性。在圖10(a)、圖10(b)、圖10(c)之圖表中,橫軸係表示蝕刻時間,左側之縱軸係表示蝕刻量,亦即各膜之膜厚的減少量。圖10(a)之圖表中,右側之縱軸係表示選擇比,亦即Ti膜之蝕刻量除以TiSiX膜之蝕刻量所得到之數值。圖10(b)之圖表中,右側之縱軸係表示選擇比,亦即TiO2膜之蝕刻量除以TiSiX膜之蝕刻量所得到之數值。圖10(c)之圖表中,右側之縱軸係表示選擇比,亦即TiN膜之蝕刻量除以TiSiX膜之蝕刻量所得到之數值。如圖10所示,確認到藉由使用ClF3氣體,不論蝕刻時間,均可得到1.2以上的選擇比。又,確認到藉由使用ClF3氣體,便可相對於鈦矽化物來選擇性地蝕刻氮化鈦。
(第4實驗)
第4實驗中,係調查了使用ClF3氣體來蝕刻鈦(Ti)膜及鈦矽化物(TiSiX)膜的溫度依存性(相對於被加工物之溫度的依存性)以及使用ClF3氣體來蝕刻鈦(Ti)膜及鈦矽化物(TiSiX)膜的壓力依存性(相對於處理空間12p之壓力的依存性)。第4實驗中,係在蝕刻裝置10B中使用ClF3氣體,來蝕刻鈦(Ti)膜及鈦矽化物(TiSiX)膜。以下,便顯示第4實驗之各項條件。
<第4實驗之溫度依存性調查的各項條件>
處理空間12p之壓力:3Torr(400Pa)
ClF3氣體之流量:1,5sccm
添加氣體(Ar氣體)之流量:12600sccm
蝕刻時間:75秒
<第4實驗之壓力依存性調查的各項條件>
ClF3氣體之流量:1,5sccm
被加工物之溫度:160℃
添加氣體(Ar氣體)之流量:12600sccm
蝕刻時間:75秒
於圖11(a)顯示使用ClF3氣體來蝕刻Ti膜及TiSiX膜的溫度依存性,於圖11(b)顯示使用ClF3氣體來蝕刻Ti膜及TiSiX膜的壓力依存性。在圖11(a)之圖表中,橫軸係表示被加工物之溫度。在圖11(b)之圖表中,橫軸係表示處理空間12p之壓力。圖11(a)及圖11(b)的圖表中,左側之縱軸係表示蝕刻量,亦即各膜之膜厚的減少量。圖11(a)及圖11(b)的圖表中,右側之縱軸係表示選擇比,亦即Ti膜之蝕刻量除以TiSiX膜之蝕刻量所得到之數值。如圖11(a)及圖11(b)所示,確認到在使用ClF3氣體時,並不會略依存於被加工物之溫度以及處理空間12p之壓力,而可相對於鈦矽化物來選擇性地蝕刻鈦。

Claims (4)

  1. 一種方法,係形成鈦矽化物區域之方法,包含:進行用以使被加工物之矽層的乾淨面露出之前處理的工序;在進行前處理之該工序實行後,於該矽層上形成含鈦區域及鈦矽化物區域的工序;以及為了相對於該鈦矽化物區域來選擇性地蝕刻該含鈦區域,而對包含該含鈦區域及該鈦矽化物區域的該被加工物供給含氟氣體的工序。
  2. 如申請專利範圍第1項之方法,其中該含氟氣體係三氟化氯氣體。
  3. 如申請專利範圍第2項之方法,其中該三氟化氯氣體之流量係5sccm以下。
  4. 如申請專利範圍第1至3項中任一項之方法,其中該含鈦區域係由鈦、氧化鈦以及氮化鈦中至少一者所形成。
TW107113481A 2017-04-24 2018-04-20 形成鈦矽化物區域之方法 TWI750364B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-085390 2017-04-24
JP2017085390A JP6823533B2 (ja) 2017-04-24 2017-04-24 チタンシリサイド領域を形成する方法

Publications (2)

Publication Number Publication Date
TW201907480A true TW201907480A (zh) 2019-02-16
TWI750364B TWI750364B (zh) 2021-12-21

Family

ID=63854120

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113481A TWI750364B (zh) 2017-04-24 2018-04-20 形成鈦矽化物區域之方法

Country Status (4)

Country Link
US (1) US10903086B2 (zh)
JP (1) JP6823533B2 (zh)
KR (1) KR102093551B1 (zh)
TW (1) TWI750364B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210040231A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 장치
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
JP7486398B2 (ja) 2020-10-19 2024-05-17 東京エレクトロン株式会社 エッチング方法およびエッチング装置

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2114809B (en) * 1982-02-04 1986-02-05 Standard Telephones Cables Ltd Metallic silicide production
US4545116A (en) * 1983-05-06 1985-10-08 Texas Instruments Incorporated Method of forming a titanium disilicide
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4675073A (en) * 1986-03-07 1987-06-23 Texas Instruments Incorporated Tin etch process
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
US4957590A (en) * 1988-02-22 1990-09-18 Texas Instruments Incorporated Method for forming local interconnects using selective anisotropy
US4951103A (en) * 1988-06-03 1990-08-21 Texas Instruments, Incorporated Fast, trench isolated, planar flash EEPROMS with silicided bitlines
NL8801632A (nl) * 1988-06-27 1990-01-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting waarbij tijdens depositie van een metaal een metaalsilicide wordt gevormd.
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5164331A (en) * 1991-10-03 1992-11-17 Hewlett-Packard Company Method of forming and etching titanium-tungsten interconnects
US5419805A (en) * 1992-03-18 1995-05-30 Northern Telecom Limited Selective etching of refractory metal nitrides
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JP3201061B2 (ja) * 1993-03-05 2001-08-20 ソニー株式会社 配線構造の製造方法
US5565702A (en) * 1994-08-19 1996-10-15 Kawasaki Steel Corporation Antifuse element, semiconductor device having antifuse elements, and method for manufacturing the same
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5895255A (en) * 1994-11-30 1999-04-20 Kabushiki Kaisha Toshiba Shallow trench isolation formation with deep trench cap
US5789318A (en) * 1996-02-23 1998-08-04 Varian Associates, Inc. Use of titanium hydride in integrated circuit fabrication
JPH09312391A (ja) * 1996-05-22 1997-12-02 Toshiba Corp 半導体装置およびその製造方法
JP3101568B2 (ja) 1996-06-19 2000-10-23 三洋電機株式会社 半導体装置の製造方法
US5948702A (en) * 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
JP3003608B2 (ja) 1997-01-23 2000-01-31 日本電気株式会社 半導体装置の製造方法
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
TW400579B (en) * 1997-03-24 2000-08-01 United Microelectronics Corp Method for manufacturing semiconductor device with titanium nitride
JP3129232B2 (ja) 1997-05-08 2001-01-29 日本電気株式会社 半導体装置の製造方法
TW353206B (en) * 1997-05-17 1999-02-21 United Microelectronics Corp Process for producing self-aligned salicide having high temperature stability
US6191463B1 (en) * 1997-07-15 2001-02-20 Kabushiki Kaisha Toshiba Apparatus and method of improving an insulating film on a semiconductor device
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
JPH11150084A (ja) * 1997-09-12 1999-06-02 Canon Inc 半導体装置および基板上への非晶質窒化硅素チタンの形成方法
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US6255413B1 (en) * 1997-12-16 2001-07-03 Phillips Petroleum Company Process to produce branched polymers from ethylene feedstock
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
DE19822749A1 (de) * 1998-05-20 1999-12-02 Siemens Ag Verfahren zur Erzeugung metallhaltiger Schichten
TW399302B (en) * 1998-08-06 2000-07-21 United Microelectronics Corp Structure of titanium salicide and the method for forming the same
JP2000058643A (ja) * 1998-08-10 2000-02-25 Sony Corp プラグの形成方法
JP4519280B2 (ja) * 1999-06-11 2010-08-04 東京エレクトロン株式会社 処理室をドライクリーニングするための装置及び方法
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
US6255179B1 (en) * 1999-08-04 2001-07-03 International Business Machines Corporation Plasma etch pre-silicide clean
US6919273B1 (en) * 1999-12-09 2005-07-19 Tokyo Electron Limited Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film
JP4366805B2 (ja) 2000-01-24 2009-11-18 東京エレクトロン株式会社 埋め込み方法
KR100316721B1 (ko) * 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
JP4348835B2 (ja) * 2000-05-26 2009-10-21 東京エレクトロン株式会社 クリーニング方法
US6225202B1 (en) * 2000-06-21 2001-05-01 Chartered Semiconductor Manufacturing, Ltd. Selective etching of unreacted nickel after salicidation
US6905079B2 (en) * 2000-09-08 2005-06-14 Tokyo Electron Limited Shower head structure and cleaning method thereof
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100502673B1 (ko) * 2002-07-05 2005-07-22 주식회사 하이닉스반도체 반도체소자의 티타늄막 형성방법 및 배리어금속막 형성방법
KR20040057079A (ko) * 2002-12-24 2004-07-02 동부전자 주식회사 반도체 소자의 커패시터 및 콘택홀 동시 제조 방법
JP2009026864A (ja) * 2007-07-18 2009-02-05 Toshiba Corp 半導体装置の製造方法及び半導体装置
US8569810B2 (en) * 2010-12-07 2013-10-29 International Business Machines Corporation Metal semiconductor alloy contact with low resistance
US9006801B2 (en) * 2011-01-25 2015-04-14 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches
JP6056136B2 (ja) * 2011-09-07 2017-01-11 セントラル硝子株式会社 ドライエッチング方法
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9029920B2 (en) * 2013-06-04 2015-05-12 Globalfoundries Inc. Semiconductor devices and methods of fabrication with reduced gate and contact resistances
US9520303B2 (en) * 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472456B2 (en) * 2013-12-24 2016-10-18 Intel Corporation Technology for selectively etching titanium and titanium nitride in the presence of other materials
US9287134B2 (en) * 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US10418246B2 (en) * 2016-11-03 2019-09-17 Applied Materials, Inc. Remote hydrogen plasma titanium deposition to enhance selectivity and film uniformity
US10049891B1 (en) * 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal

Also Published As

Publication number Publication date
JP6823533B2 (ja) 2021-02-03
KR20180119113A (ko) 2018-11-01
TWI750364B (zh) 2021-12-21
KR102093551B1 (ko) 2020-03-25
US20180308709A1 (en) 2018-10-25
US10903086B2 (en) 2021-01-26
JP2018186123A (ja) 2018-11-22

Similar Documents

Publication Publication Date Title
JP7483839B2 (ja) エアギャップの形成方法
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
KR100447284B1 (ko) 화학기상증착 챔버의 세정 방법
TWI695903B (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
TWI687994B (zh) 用於經由原子層沉積循環之蝕刻的方法
JP2016528734A (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
US7122477B2 (en) Method of plasma treatment
TW201810414A (zh) 氧化膜去除方法、去除裝置、接點形成方法、接點形成系統及記憶媒體
US11127598B2 (en) Film etching method for etching film
TWI750364B (zh) 形成鈦矽化物區域之方法
US20220165554A1 (en) Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
KR102149082B1 (ko) 실리콘 산화막을 제거하는 방법
KR20090032963A (ko) 금속막 성막 방법 및 컴퓨터 판독 가능한 기록 매체
TW200849348A (en) Film formation method, substrate processing equipment and semiconductor device
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
US10886170B2 (en) Method of forming tungsten film
TW202122618A (zh) 銅表面上之選擇性鈷沉積
WO2021049306A1 (ja) 成膜方法、成膜装置および成膜システム
US20240035195A1 (en) Methods, systems, and apparatus for forming layers having single crystalline structures
JP7368545B2 (ja) 基板処理装置および方法
KR20200113170A (ko) 막을 에칭하는 방법 및 플라즈마 처리 장치
KR20230159859A (ko) 기판을 프로세싱하기 위한 방법들 및 장치