JP6823533B2 - チタンシリサイド領域を形成する方法 - Google Patents

チタンシリサイド領域を形成する方法 Download PDF

Info

Publication number
JP6823533B2
JP6823533B2 JP2017085390A JP2017085390A JP6823533B2 JP 6823533 B2 JP6823533 B2 JP 6823533B2 JP 2017085390 A JP2017085390 A JP 2017085390A JP 2017085390 A JP2017085390 A JP 2017085390A JP 6823533 B2 JP6823533 B2 JP 6823533B2
Authority
JP
Japan
Prior art keywords
titanium
gas
film
etching
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017085390A
Other languages
English (en)
Other versions
JP2018186123A (ja
Inventor
英亮 山崎
英亮 山崎
健作 田中
健作 田中
小林 裕史
裕史 小林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017085390A priority Critical patent/JP6823533B2/ja
Priority to KR1020180043042A priority patent/KR102093551B1/ko
Priority to US15/955,188 priority patent/US10903086B2/en
Priority to TW107113481A priority patent/TWI750364B/zh
Publication of JP2018186123A publication Critical patent/JP2018186123A/ja
Application granted granted Critical
Publication of JP6823533B2 publication Critical patent/JP6823533B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本開示の実施形態は、チタンシリサイド領域を形成する方法に関するものである。
半導体デバイスといった電子デバイスの製造においては、シリコン層上にコンタクトを形成するために、チタンシリサイド領域が形成されることがある。チタンシリサイドを形成する方法については、特許文献1及び特許文献2に記載されている。
特許文献1及び特許文献2に記載された方法では、被加工物は、シリコン基板、及び、当該シリコン基板上に形成された絶縁膜を有する。絶縁膜には、シリコン基板を部分的に露出させる開口が形成されている。特許文献1及び特許文献2に記載された方法では、絶縁膜及びシリコン基板上にチタン膜が形成され、当該チタン膜の全領域のうちシリコン基板上の領域からチタンシリサイド領域が形成される。しかる後に、チタン膜がチタンシリサイド領域に対して選択的にエッチングされる。チタン膜のエッチングにおいては、四塩化チタンガスが用いられている。
特開平10−308360号公報 特開2001−210713号公報
四塩化チタンガスによるチタン膜のエッチングでは、エッチング時間の経過と共にエッチングレートが低下する。したがって、四塩化チタンガスをエッチングに用いると、チタンシリサイド領域のみならずチタン膜が残されることがある。故に、チタンシリサイド領域に対してチタン含有領域を選択的に除去することを可能とすることが求められている。
一態様においては、チタンシリサイド領域を形成する方法が提供される。この方法は、被加工物のシリコン層の清浄な面を露出させるための前処理を行う工程と、前処理を行う工程の実行後にシリコン層上にチタン含有領域及びチタンシリサイド領域を形成する工程と、チタンシリサイド領域に対してチタン含有領域を選択的にエッチングするために、チタン含有領域及びチタンシリサイド領域を含む被加工物に、フッ素含有ガスを供給する工程と、を含む。
一態様に係る方法では、チタン含有領域のエッチングにフッ素含有ガスが用いられている。フッ素含有ガスによれば、チタンシリサイド領域に対してチタン含有領域が選択的に除去される。
一実施形態では、フッ素含有ガスは、三フッ化塩素ガスである。一実施形態では、三フッ化塩素ガスの流量は、5sccm以下に設定される。
一実施形態では、チタン含有領域は、チタン、酸化チタン、及び、窒化チタンのうち少なくとも一つから形成されている。
以上説明したように、チタンシリサイド領域に対してチタン含有領域を選択的に除去することが可能となる。
一実施形態に係るチタンシリサイド領域を形成する方法を示す流れ図である。 一例の被加工物の一部拡大断面図である。 図1に示す方法において利用可能な処理システムを示す図である。 図3の処理システムに用いることが可能な成膜装置を示す図である。 図3の処理システムに用いることが可能なエッチング装置を示す図である。 図1に示す方法の実行中に得られる被加工物の一部拡大断面図である。 図1に示す方法の実行後の被加工物の一部拡大断面図である。 四塩化チタンガスを用いたエッチングにおけるチタン膜のエッチング後の膜厚の時間依存性、及び、三フッ化塩素ガスを用いたエッチングにおけるチタン膜のエッチング後の膜厚の時間依存性を示すグラフである。 図9の(a)は、三フッ化塩素ガスを用いたチタン膜及びチタンシリサイド膜のエッチングの流量依存性を示すグラフであり、図9の(b)は、三フッ化塩素ガスを用いた酸化チタン膜及びチタンシリサイド膜のエッチングの流量依存性を示すグラフである。 図10の(a)は、三フッ化塩素ガスを用いたチタン膜及びチタンシリサイド膜のエッチングの時間依存性を示すグラフであり、図10の(b)は、三フッ化塩素ガスを用いた酸化チタン膜及びチタンシリサイド膜のエッチングの時間依存性を示すグラフであり、図10の(c)は、三フッ化塩素ガスを用いた窒化チタン膜及びチタンシリサイド膜のエッチングの時間依存性を示すグラフである。 図11の(a)は、三フッ化塩素ガスを用いたチタン膜及びチタンシリサイド膜のエッチングの温度依存性を示すグラフであり、図11の(b)は、三フッ化塩素ガスを用いたチタン膜及びチタンシリサイド膜のエッチングの圧力依存性を示すグラフである。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るチタンシリサイド領域を形成する方法を示す流れ図である。図1に示す方法MTは、被加工物上にチタンシリサイド領域を形成するために実行される。図2は、一例の被加工物の一部拡大断面図である。方法MTは、図2に示す被加工物Wに対して適用され得る。
図2に示すように、被加工物Wは、シリコン層SL及び絶縁膜ILを有している。シリコン層SLは、シリコンから形成されている。絶縁膜ILは、シリコン層SL上に形成されている。絶縁膜ILは、例えば酸化シリコンから形成されている。絶縁膜ILには、開口が形成されている。絶縁膜ILの開口は、シリコン層SLの表面を部分的に露出させている。
図3は、図1に示す方法において利用可能な処理システムを示す図である。方法MTは、図3に示す処理システムを用いて実行され得る。図3に示す処理システム1は、台2a、2b,2c,2d、容器4a,4b,4c,4d、ローダーモジュールLM、アライナAN、ロードロックモジュールLL1,LL2、搬送モジュールTM、プロセスモジュールPM1,PM2,PM3,PM4を備えている。なお、処理システム1の台の個数、容器の個数、ロードロックモジュールの個数は、1個以上の任意の個数であり得る。
台2a、2b,2c,2dは、ローダーモジュールLMの一縁に沿って配列されている。容器4a,4b,4c,4dはそれぞれ、台2a、2b,2c,2d上に配置されている。容器4a,4b,4c,4dは、その内部に被加工物Wを収容するように構成されている。容器4a,4b,4c,4dの各々は、FOUP(Front−Opening Unified Pod)と称される容器であり得る。
ローダーモジュールLMは、その内部にチャンバLCを提供している。チャンバLCの圧力は、大気圧に設定される。ローダーモジュールLMは、搬送装置TU1を備えている。搬送装置TU1は、例えば多関節ロボットである。搬送装置TU1は、容器4a,4b,4c,4dの各々とアライナANとの間、アライナANとロードロックモジュールLL1,LL2の各々との間、容器4a,4b,4c,4dの各々とロードロックモジュールLL1,LL2の各々との間で、チャンバLCを介して、被加工物Wを搬送するように構成されている。アライナANは、ローダーモジュールLMに接続されている。アライナANは、その内部において被加工物Wの位置を較正する。
ロードロックモジュールLL1,LL2は、ローダーモジュールLMと搬送モジュールTMの間に設けられている。ロードロックモジュールLL1,LL2の各々は、予備減圧室を提供している。ロードロックモジュールLL1,LL2の各々の予備減圧室とチャンバLCとの間にはゲートバルブが設けられている。
搬送モジュールTMは、その内部にチャンバTCを提供している。チャンバTCは、減圧可能に構成されている。チャンバTCとロードロックモジュールLL1,LL2の各々の間にはゲートバルブが設けられている。搬送モジュールTMは、搬送装置TU2を有している。搬送装置TU2は、例えば多関節ロボットである。搬送装置TU2は、ロードロックモジュールLL1,LL2の各々とプロセスモジュールPM1,PM2,PM3,PM4の各々の間、プロセスモジュールPM1,PM2,PM3,PM4のうち任意の二つのプロセスモジュールの間で、チャンバTCを介して、被加工物Wを搬送するように構成されている。
プロセスモジュールPM1,PM2,PM3,PM4の各々は、専用の基板処理を実行する装置である。プロセスモジュールPM1,PM2,PM3,PM4の各々のチャンバは、ゲートバルブを介してチャンバTCに接続されている。方法MTの後述する工程ST1は、プロセスモジュールPM1及びプロセスモジュールPM4を用いて実行される。一例において、プロセスモジュールPM1は、減圧されたチャンバに、フッ化水素ガスとアンモニアガスの混合ガスを供給する。これにより、被加工物Wのシリコン層SLの表面の酸化膜(自然酸化膜)を構成する酸化シリコンが、ケイフッ化アンモニウムに変質する。しかる後に、被加工物Wは、プロセスモジュールPM4に搬送される。プロセスモジュールPM4は、減圧されたチャンバ内で被加工物Wを加熱する。被加工物Wが加熱されると、ケイフッ化アンモニウムが気化し、発生した気体が排気される。これにより、酸化膜が除去される。しかる後に、被加工物WはプロセスモジュールPM2に搬送される。
方法MTの後述する工程ST2は、プロセスモジュールPM2を用いて実行される。プロセスモジュールPM2は、チタン含有領域及びチタンシリサイド領域を形成するための成膜装置である。プロセスモジュールPM2においてチタン含有領域及びチタンシリサイド領域がその上に形成された被加工物Wは、プロセスモジュールPM3に搬送される。方法MTの後述する工程ST3は、プロセスモジュールPM3を用いて実行される。プロセスモジュールPM3は、チタン含有領域をエッチングするためのエッチング装置である。
処理システム1は、制御部MCを更に備え得る。制御部MCは、方法MTの実行において、処理システム1の各部を制御するように構成されている。制御部MCは、プロセッサ(例えばCPU)、及び、メモリといった記憶装置、制御信号の入出力インタフェイスを備えたコンピュータ装置であり得る。記憶装置には、制御プログラム及びレシピデータが記憶されている。プロセッサが制御プログラム及びレシピデータに従って動作することにより、処理システム1の各部に制御信号が送出される。このような制御部MCの動作により、方法MTが実行され得る。
図4は、図3の処理システムに用いることが可能な成膜装置を示す図である。図3に示す成膜装置10Aは、プロセスモジュールPM2として採用され得る。成膜装置10Aは、チャンバ本体12を備えている。チャンバ本体12は、略筒形状を有する。チャンバ本体12は、その内部空間をチャンバ12cとして提供している。チャンバ12cは、被加工物Wがその中で処理される処理空間12pを含んでいる。チャンバ本体12は、例えば金属から形成されている。チャンバ12c内にはステージ14が設けられている。ステージ14は被加工物Wを支持するように構成されている。チャンバ本体12の側壁には、通路12tが形成されている。被加工物Wは、チャンバ12cの外部からチャンバ12cに搬入されるとき、チャンバ12cからチャンバ12cの外部に搬出されるときに、通路12tを通過する。チャンバ本体12の側壁には、通路12tの開閉のためのゲートバルブ12gが設けられている。
チャンバ本体12上には、排気ダクト13が設けられている。排気ダクト13は、金属から形成されている。排気ダクト13は、処理空間12pを囲むように、周方向に延在している。排気ダクト13の内側の壁部には、スリット状の開口13aが形成されている。処理空間12p内のガスは、開口13aから排気ダクト13内に流れる。排気ダクト13の外側の壁部には、排気口13eが形成されている。排気口13eには排気部16が接続されている。排気部16は、圧力調整弁といった圧力調整装置、及び、真空ポンプといった減圧ポンプを有している。排気ダクト13内に流入したガスは、排気口13eを介して排出される。
ステージ14は、チャンバ12c内において、排気ダクト13よりも内側に配置されている。ステージ14は、略円盤形状を有しており、例えばセラミックス又は金属から形成されている。ステージ14の内部には、ヒータが内蔵されている。ステージ14内のヒータが発熱することにより、被加工物Wが加熱される。なお、ステージ14は、被加工物Wを保持するために、静電チャックを有していてもよい。
ステージ14は、その上に被加工物Wが載置される載置領域を提供している。ステージ14には、カバー部材18が取り付けられている。カバー部材18は、ステージ14の載置領域の外周側の領域、及び、ステージ14の側面を覆うように延在している。
ステージ14の周囲には、ガイドリング54が設けられている。ガイドリング54は、絶縁体、例えば酸化アルミニウムから形成されている。ガイドリング54は、略円筒形状を有している。ガイドリング54の上端は鍔状に形成されている。ガイドリング54の上端は、排気ダクト13によって支持されている。ガイドリング54とカバー部材18との間には間隙が形成されている。このガイドリング54により、ステージ14の周囲におけるガスの流れが制御される。
ステージ14は、支持部材20及び支持台22を介して昇降機構24に接続されている。支持部材20は、チャンバ本体12の底部に形成された開口を通って、チャンバ本体12の外部まで延在している。支持部材20の一端はステージ14に結合されており、支持部材20の他端は昇降機構24に接続されている。支持台22は、支持部材20の一端と他端の間に設けられている。チャンバ本体12の底部と支持台22の間には、支持部材20を囲むように、ベローズ26が設けられている。ベローズ26の一端はチャンバ本体12に接続されており、その他端は支持台22に接続されている。このベローズ26により、チャンバ本体12の底部における気密が確保されている。
昇降機構24は、ステージ14を第1の位置と第2の位置との間で昇降移動させる。第1の位置(図4において一点鎖線で示す位置)にステージ14が位置しているときには、被加工物Wがステージ14と搬送装置TU2との間で受け渡される。第2の位置(図4に示されるステージ14の位置)にステージ14が位置しているときには、ステージ14上に載置された被加工物Wが処理される。ステージ14の下方には、複数の支持ピン28が設けられている。複数の支持ピン28がステージ14の貫通口を介して当該ステージ14の上面から突き出されると、被加工物Wはステージ14から離れて、複数の支持ピン28によって支持される。
排気ダクト13の上面側には、支持板30が設けられている。支持板30は、略円板形状を有しており、金属から形成されている。排気ダクト13と支持板30との間には、リング形状を有する絶縁部材31が設けられている。絶縁部材31と支持板30との間にはOリング32が設けられている。Oリング32により、チャンバ12cの気密が確保される。また、絶縁部材31により、支持板30は排気ダクト13から電気的に絶縁されている。支持板30の下面側には、金属製の天板34が固定されている。
天板34の下面側には凹部が形成されている。天板34の下面側には、当該天板34の凹部を覆うようにシャワーヘッド36が設けられている。シャワーヘッド36は金属製である。シャワーヘッド36は、略円盤形状を有している。シャワーヘッド36の中央領域は、略平坦な下面を提供しており、ステージ14の載置領域に対面している。シャワーヘッド36の周縁領域は、その中央領域よりも下方に突き出している。
ステージ14が第2の位置に配置されているときには、シャワーヘッド36の周縁領域は、間隙を介してカバー部材18に対面する。シャワーヘッド36とステージ14によって囲まれた空間は、処理空間12pとなる。
シャワーヘッド36によって覆われた天板34の凹部は、ガス拡散室34aとなっている。シャワーヘッド36には、多数のガス吐出孔36aが形成されている。ガス拡散室34aに供給されたガスは、ガス吐出孔36aから処理空間12pに吐出されて、被加工物Wに供給される。
ガス拡散室34a内には、複数のガス拡散部38が設けられている。複数のガス拡散部38は、例えば同心円状に配置されている。複数のガス拡散部38の各々は、上端において開口され、下端において閉塞された円筒形状を有している。複数のガス拡散部38の各々の側壁には、複数のガス吐出孔が形成されている。複数のガス吐出孔は、周方向に沿って配列されている。複数のガス拡散部38の各々の上端の開口は、天板34に形成されたガス供給路34bの一端に接続されている。
天板34の上面と支持板30の下面との間には拡散室30aが形成されている。ガス供給路34bの他端は、拡散室30aに接続されている。支持板30には、第1の供給路30b及び第2の供給路30cが形成されている。第1の供給路30bの一端及び第2の供給路30cの一端は拡散室30aに接続されている。
第1の供給路30bの他端は、流量制御器及び開閉バルブを介して不活性ガスのソース41に接続されている。ソース41から出力される不活性ガスは、アルゴンガスといった希ガスであり得る。また、第1の供給路30bの他端は、流量制御器及び開閉バルブを介して水素ガス(Hガス)のソース42に接続されている。また、第1の供給路30bの他端は、流量制御器及び開閉バルブを介してアンモニアガス(NH3ガス)のソース45に接続されている。第2の供給路30cの他端は、流量制御器及び開閉バルブを介して不活性ガスのソース43に接続されている。ソース43から出力される不活性ガスは、アルゴンガスといった希ガスであり得る。また、第2の供給路30cの他端は、流量制御器及び開閉バルブを介してチタン含有ガスのソース44に接続されている。チタン含有ガスは、例えば四塩化チタンガスである。
支持板30には、整合器51を介して高周波電源50接続されている。高周波電源50は、プラズマ生成用の高周波(高周波電気エネルギー)を発生する電源である。高周波電源50によって発生される高周波の周波数は、例えば、450kHz又は13.56MHzである。支持板30、天板34、及び、シャワーヘッド36は互いに電気的に接続されており、プラズマ生成用の高周波が供給される上部電極を構成している。
上部電極の周囲には、絶縁部材52が設けられている。絶縁部材52は、例えば石英から形成されている。絶縁部材52は略円筒形状を有している。絶縁部材52と上部電極の外周面との間には間隙が形成されている。絶縁部材52の下端面は、上部電極の下端面(シャワーヘッド36の周縁領域の下端面)と鉛直方向において略同一のレベルに設けられている。絶縁部材52は、チャンバ本体12及び排気ダクト13を上部電極から電気的に絶縁する。
ステージ14内には、下部電極14aが設けられている。下部電極14aと上部電極は、平行平板電極を構成している。一例においては、ステージ14はセラミックス製であり、下部電極14aは略円板形状を有しており、ステージ14に内蔵されている。下部電極14aは、接地されている。なお、下部電極14aに整合器51を介して高周波電源50が接続され、上部電極が接地されてもよい。なお、ステージ14全体が下部電極として機能するように、金属製のステージヒータが用いられてもよい。支持部材20も金属製である場合には、支持部材20と支持台22の間に絶縁部材が設けられ、支持部材20と支持台22とが互いに電気的に絶縁されてもよい。
成膜装置10Aでは、上部電極に高周波が供給されると、上部電極と下部電極14aとの間に形成される高周波電界により、処理空間12p内のガスが励起される。これにより、チタン含有領域を被加工物W上に形成することができる。また、ステージ14のヒータにより、被加工物Wを加熱することにより、シリコン層SL上のチタンをチタンシリサイドに変質させて、チタンシリサイド領域を形成することができる。
成膜装置10Aは、制御部MCに接続されている。制御部MCからの制御信号により、成膜装置10Aの各部が制御されて、成膜装置10Aの各部を動作させることができる。
図5は、図3の処理システムに用いることが可能なエッチング装置を示す図である。図5に示すエッチング装置10Bは、成膜装置10Aと同様の構成を有し得る。エッチング装置10Bにおいて、ソース44は、フッ素含有ガスのソースである。フッ素含有ガスは、例えば三フッ化塩素ガスである。フッ素含有ガスは、フッ化水素ガス又はフッ素ガス(Fガス)であってもよい。エッチング装置10Bの各部は、制御部MCによって制御される。エッチング装置10Bでは、被加工物Wがステージ14上に載置された状態で、ステージ14のヒータにより被加工物Wが加熱される。また、処理空間12pにフッ素含有ガスが供給される。そして、フッ素含有ガスから生成される分子又は原子により、被加工物Wのチタン含有領域がエッチングされる。
再び図1を参照して、方法MTについて説明する。以下の説明では、成膜装置10A及びエッチング装置10Bを有する処理システム1を用いて、図2に示した被加工物Wに対して方法MTが適用される場合を例にとって、方法MTを説明する。以下の説明では、図1に加えて、図6及び図7を参照する。図6は、図1に示す方法の実行中に得られる被加工物の一部拡大断面図である。図7は、図1に示す方法の実行後の被加工物の一部拡大断面図である。
図1に示すように、方法MTでは、まず、工程ST1が実行される。工程ST1では、図2に示した被加工物Wに対して前処理が施される。前処理は、被加工物Wのシリコン層SLの清浄な面を露出させるために行われる。前処理では、被加工物Wのシリコン層SLの表面の酸化膜(自然酸化膜)が除去される。具体的に、工程ST1では、被加工物Wが、プロセスモジュールPM1に搬送される。そして、プロセスモジュールPM1内の減圧されたチャンバに、フッ化水素ガスとアンモニアガスの混合ガスが供給される。これにより、被加工物Wのシリコン層SLの表面の酸化膜を構成する酸化シリコンが、ケイフッ化アンモニウムに変質する。次いで、被加工物Wは、プロセスモジュールPM4に搬送される。そして、プロセスモジュールPM4内の減圧されたチャンバ内で被加工物Wが加熱される。被加工物Wは、例えば、50℃以上500℃以下の範囲内の温度、より好ましくは150℃以上200℃以下に加熱される。これにより、ケイフッ化アンモニウムが気化する。発生した気体は、排気される。これにより、酸化膜が除去される。しかる後に、被加工物WはプロセスモジュールPM2、即ち、成膜装置10Aに搬送される。
続く工程ST2では、前処理が適用された被加工物W上にチタン含有領域及びチタンシリサイド領域が形成される。具体的に、工程ST2は、成膜装置10Aのステージ14上に被加工物Wが載置された状態で実行される。工程ST2では、ステージ14のヒータにより被加工物Wが加熱される。被加工物Wは、300℃以上800℃以下の範囲内の温度に加熱される。例えば、被加工物は400℃以上500℃に加熱される。工程ST2では、成膜装置10Aの処理空間12pに、チタン含有ガス(例えば四塩化チタンガス)、水素ガス、及び、不活性ガス(例えば、アルゴンガス)が供給される。そして、成膜装置10Aの上部電極に、高周波が印加される。これにより、工程ST2では、処理空間12pにおいてプラズマが生成されて、チタン含有ガスの分子が分解され、被加工物W上にチタン膜が形成される。チタン膜は、図6に示すように、絶縁膜IL上ではチタン含有領域R1を形成する。チタン含有領域R1は、チタンから形成されている。チタン膜は、シリコン層SL上ではシリコンと結合して、チタンシリサイド領域R2を形成する。なお、チタン膜の成膜後に窒素含有ガス(例えば、NHガス)が処理空間12pに供給され、チタン含有領域R1の表面が窒化されてもよい。この場合には、チタン含有領域R1は表面側から窒化されて窒化チタン膜に変質する。チタンシリサイド領域R2はチタン膜とシリコンが結合した領域であるため、殆ど変質しない。工程ST2の実行後に、図6に示す被加工物Wは、プロセスモジュールPM3、即ち、エッチング装置10Bに搬送される。
続く工程ST3では、チタン含有領域R1がチタンシリサイド領域R2に対して選択的にエッチングされる。工程ST3は、エッチング装置10Bのステージ14上に被加工物Wが載置された状態で実行される。工程ST3では、ステージ14のヒータにより被加工物Wが加熱される。被加工物Wは、50℃〜500℃、より好ましくは150℃以上200℃以下の範囲内の温度に加熱される。また、工程ST3では、エッチング装置10Bの処理空間12pに、フッ素含有ガスが供給される。フッ素含有ガスは、三フッ化塩素ガスである。フッ素含有ガスは、フッ化水素ガス又はフッ素ガス(Fガス)であってもよい。工程ST3では、三フッ化塩素ガスの流量は、10sccm以下、又は、5sccm以下の流量に設定される。また、工程ST3では、処理空間12pの圧力は、0.5Torr(66.6Pa)以上10Torr(1330Pa)以下の範囲内の圧力に設定される。工程ST3では、フッ素含有ガスに加えて、水素ガス(Hガス)及び/又はアルゴンガスといった不活性ガスが処理空間12pに供給されてもよい。工程ST3では、図7に示すように、フッ素含有ガスから生成される分子又は原子により、チタン含有領域R1が選択的にエッチングされる。
方法MTでは、チタン含有領域R1のエッチングにフッ素含有ガスが用いられている。フッ素含有ガスによれば、チタンシリサイド領域R2に対してチタン含有領域R1が選択的に除去される。したがって、チタンシリサイド領域R2に対してチタン含有領域R1を選択的に除去することが可能となる。
上述した実施形態に限定されることなく種々の変形態様が構成され得る。例えば、上述した実施形態では、チタン含有領域R1は、チタンから形成されているが、方法MTは、酸化チタン及び/又は窒化チタンを含むチタン含有領域をチタンシリサイド領域に対して選択的にエッチングするためにも、利用可能である。また、工程ST3では、フッ素含有ガスに加えて水素ガス(Hガス)が供給されてもよい。或いは、水素ガスの代わりにアンモニアガス(NHガス)といった水素を含有するガスがフッ素含有ガスと共に供給されてもよい。
以下、方法MTの評価のために行った種々の実験について説明する。
(第1の実験)
第1の実験では、エッチング装置10Bにおいて三フッ化塩素(ClF)ガスを用いて、チタン膜のエッチングを行った。また、エッチング装置10Bにおいて四塩化チタン(TiCl)ガスを用いて、チタン膜のエッチングを行った。以下、第1の実験における諸条件を示す。
<第1の実験における諸条件>
・ClFガスを用いた場合
処理空間12pの圧力:1Torr(133Pa)
チタン膜を有する被加工物の温度:200℃
ClFガスの流量:10sccm
添加ガス(Arガス)の流量:3990sccm
・TiClガスを用いた場合
処理空間12pの圧力:3Torr(400Pa)
チタン膜を有する被加工物の温度:480℃
TiClガスの流量:180sccm
添加ガス(Arガス)の流量:1000sccm
第1の実験では、エッチング時間とエッチングによって残されたチタン膜の膜厚との関係を調査した。図8にその結果を示す。図8において、横軸はエッチング時間を示しており、縦軸は、エッチングによって残されたチタン膜の膜厚を示している。図8に示すように、TiClガスを用いた場合には、エッチング時間が10秒以上になるとチタン膜の膜厚の減少量が極端に低下していた。即ち、TiClガスを用いた場合には、チタン膜の膜厚は2nm程度減少したが、チタン膜の膜厚が2nm程度減少した後には当該チタン膜のエッチングレートは極端に遅くなっていた。一方、ClFガスを用いた場合には、エッチング時間が10秒のときに、チタン膜の膜厚がゼロになった。即ち、ClFガスを用いた場合には、エッチング時間が10秒のときに、チタン膜が完全に除去された。したがって、第1の実験の結果、ClFガスを用いることにより、チタン膜を除去可能であることが確認された。このエッチングレートの差は、チタンのフッ化物がチタンの塩化物に比して形成され易いこと、及び/又は、チタンのフッ化物の気化速度が、チタンの塩化物の気化速度よりも高いことに起因するものと推測される。
(第2の実験)
第2の実験では、チタン(Ti)膜、酸化チタン(TiO)膜、及び、チタンシリサイド(TiSix)膜のエッチングのClFガスの流量に対する依存性を調査した。第2の実験では、エッチング装置10BにおいてClFガスを用いて、チタン(Ti)膜、酸化チタン(TiO)膜、及び、チタンシリサイド(TiSix)膜をエッチングした。以下、第2の実験における諸条件を示す。
<第2の実験における諸条件>
処理空間12pの圧力:3Torr(400Pa)
被加工物の温度:160℃
添加ガス(Arガス)の流量:12600sccm
エッチング時間:60秒
図9の(a)に、ClFガスを用いたTi膜及びTiSix膜のエッチングの流量依存性を示し、図9の(b)に、ClFガスを用いたTiO膜及びTiSix膜のエッチングの流量依存性を示す。図9の(a)及び図9の(b)のグラフにおいて、横軸はClFガスの流量を示しており、左側の縦軸はエッチング量、即ち、各膜の膜厚の減少量を示している。図9の(a)のグラフにおいて、右側の縦軸は、選択比、即ち、Ti膜のエッチング量をTiSix膜のエッチング量で除することにより得られた値を示している。図9の(b)のグラフにおいて、右側の縦軸は、選択比、即ち、TiO膜のエッチング量をTiSix膜のエッチング量で除することにより得られた値を示している。図9の(a)及び図9の(b)に示すように、ClFガスを用いると、その流量が10sccm以下又は5sccm以下である場合に、約1.5よりも大きな選択比を得ることが可能であることが確認された。即ち、ClFガスによれば、チタンシリサイドに対して、チタン及び酸化チタンを選択的にエッチングすることが可能であることが確認された。
(第3の実験)
第3の実験では、チタン(Ti)膜、酸化チタン(TiO)膜、窒化チタン(TiN)膜、及び、チタンシリサイド(TiSix)膜のClFガスを用いたエッチングの時間に対する依存性を調査した。第3の実験では、エッチング装置10BにおいてClFガスを用いて、チタン(Ti)膜、酸化チタン(TiO)膜、窒化チタン(TiN)膜、及び、チタンシリサイド(TiSix)膜をエッチングした。以下、第3の実験における諸条件を示す。
<第3の実験における諸条件>
処理空間12pの圧力:3Torr(400Pa)
ClFガスの流量:1,5sccm
被加工物の温度:160℃
添加ガス(Arガス)の流量:12600sccm
図10の(a)に、ClFガスを用いたTi膜及びTiSix膜のエッチングの時間依存性を示し、図10の(b)に、ClFガスを用いたTiO膜及びTiSix膜のエッチングの時間依存性を示し、図10の(c)に、ClFガスを用いたTiN膜及びTiSix膜のエッチングの時間依存性を示す。図10の(a)、図10の(b)、及び、図10の(c)のグラフにおいて、横軸はエッチング時間を示しており、左側の縦軸はエッチング量、即ち、各膜の膜厚の減少量を示している。図10の(a)のグラフにおいて、右側の縦軸は、選択比、即ち、Ti膜のエッチング量をTiSix膜のエッチング量で除することにより得られた値を示している。図10の(b)のグラフにおいて、右側の縦軸は、選択比、即ち、TiO膜のエッチング量をTiSix膜のエッチング量で除することにより得られた値を示している。図10の(c)のグラフにおいて、右側の縦軸は、選択比、即ち、TiN膜のエッチング量をTiSix膜のエッチング量で除することにより得られた値を示している。図10に示すように、ClFガスを用いることにより、エッチング時間によらず、1.2以上の選択比が得られることが確認された。また、ClF3ガスを用いることにより、窒化チタンをチタンシリサイドに対して選択的にエッチング可能であることが確認された。
(第4の実験)
第4の実験では、ClFガスを用いたチタン(Ti)膜及びチタンシリサイド(TiSix)膜のエッチングの温度依存性(被加工物の温度に対する依存性)と、ClFガスを用いたチタン(Ti)膜及びチタンシリサイド(TiSix)膜のエッチングの圧力依存性(処理空間12pの圧力に対する依存性)を調査した。第4の実験では、エッチング装置10BにおいてClFガスを用いて、チタン(Ti)膜及びチタンシリサイド(TiSix)膜をエッチングした。以下、第4の実験における諸条件を示す。
<第4の実験の温度依存性の調査における諸条件>
処理空間12pの圧力:3Torr(400Pa)
ClFガスの流量:1,5sccm
添加ガス(Arガス)の流量:12600sccm
エッチング時間:75秒
<第4の実験の圧力依存性の調査における諸条件>
ClFガスの流量:1,5sccm
被加工物の温度:160℃
添加ガス(Arガス)の流量:12600sccm
エッチング時間:75秒
図11の(a)に、ClFガスを用いたTi膜及びTiSix膜のエッチングの温度依存性を示し、図11の(b)に、ClFガスを用いたTi膜及びTiSix膜のエッチングの圧力依存性を示す。図11の(a)のグラフにおいて、横軸は被加工物の温度を表している。図11の(b)のグラフにおいて、横軸は処理空間12pの圧力を表している。図11の(a)及び図11の(b)のグラフにおいて、左側の縦軸はエッチング量、即ち、各膜の膜厚の減少量を示している。図11の(a)及び図11の(b)のグラフにおいて、右側の縦軸は、選択比、即ち、Ti膜のエッチング量をTiSix膜のエッチング量で除することにより得られた値を示している。図11の(a)及び図11の(b)に示すように、ClFガスを用いると、被加工物の温度、及び、処理空間12pの圧力に略依存することなく、チタンシリサイドに対してチタンを選択的にエッチングすることが可能であることが確認された。
1…処理システム、LM…ローダーモジュール、LL1,LL2…ロードロックモジュール、TM…搬送モジュール、PM1,PM2,PM3,PM4…プロセスモジュール、10A…成膜装置、10B…エッチング装置、12…チャンバ本体、12c…チャンバ、14…ステージ、36…シャワーヘッド、41,42,43,44…ガスのソース、50…高周波電源、W…被加工物、SL…シリコン層、IL…絶縁膜、R1…チタン含有領域、R2…チタンシリサイド領域、MT…方法。

Claims (3)

  1. チタンシリサイド領域を形成する方法であって、
    被加工物のシリコン層の清浄な面を露出させるための前処理を行う工程と、
    前処理を行う前記工程の実行後に、前記シリコン層上にチタン含有領域及びチタンシリサイド領域を形成する工程と、
    前記チタンシリサイド領域に対して前記チタン含有領域を選択的にエッチングするために、前記チタン含有領域及び前記チタンシリサイド領域を含む前記被加工物に、三フッ化塩素ガスであるフッ素含有ガスを供給する工程と、
    を含む方法。
  2. 前記三フッ化塩素ガスの流量は、5sccm以下である、請求項に記載の方法。
  3. 前記チタン含有領域は、チタン、酸化チタン、及び、窒化チタンのうち少なくとも一つから形成されている、請求項1又は2に記載の方法。
JP2017085390A 2017-04-24 2017-04-24 チタンシリサイド領域を形成する方法 Active JP6823533B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2017085390A JP6823533B2 (ja) 2017-04-24 2017-04-24 チタンシリサイド領域を形成する方法
KR1020180043042A KR102093551B1 (ko) 2017-04-24 2018-04-13 티타늄 실리사이드 영역을 형성하는 방법
US15/955,188 US10903086B2 (en) 2017-04-24 2018-04-17 Titanium silicide region forming method
TW107113481A TWI750364B (zh) 2017-04-24 2018-04-20 形成鈦矽化物區域之方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017085390A JP6823533B2 (ja) 2017-04-24 2017-04-24 チタンシリサイド領域を形成する方法

Publications (2)

Publication Number Publication Date
JP2018186123A JP2018186123A (ja) 2018-11-22
JP6823533B2 true JP6823533B2 (ja) 2021-02-03

Family

ID=63854120

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017085390A Active JP6823533B2 (ja) 2017-04-24 2017-04-24 チタンシリサイド領域を形成する方法

Country Status (4)

Country Link
US (1) US10903086B2 (ja)
JP (1) JP6823533B2 (ja)
KR (1) KR102093551B1 (ja)
TW (1) TWI750364B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210040231A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 장치
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2114809B (en) * 1982-02-04 1986-02-05 Standard Telephones Cables Ltd Metallic silicide production
US4545116A (en) * 1983-05-06 1985-10-08 Texas Instruments Incorporated Method of forming a titanium disilicide
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4675073A (en) * 1986-03-07 1987-06-23 Texas Instruments Incorporated Tin etch process
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
US4957590A (en) * 1988-02-22 1990-09-18 Texas Instruments Incorporated Method for forming local interconnects using selective anisotropy
US4951103A (en) * 1988-06-03 1990-08-21 Texas Instruments, Incorporated Fast, trench isolated, planar flash EEPROMS with silicided bitlines
NL8801632A (nl) * 1988-06-27 1990-01-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting waarbij tijdens depositie van een metaal een metaalsilicide wordt gevormd.
US5565038A (en) * 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5164331A (en) * 1991-10-03 1992-11-17 Hewlett-Packard Company Method of forming and etching titanium-tungsten interconnects
US5419805A (en) * 1992-03-18 1995-05-30 Northern Telecom Limited Selective etching of refractory metal nitrides
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JP3201061B2 (ja) * 1993-03-05 2001-08-20 ソニー株式会社 配線構造の製造方法
US5565702A (en) * 1994-08-19 1996-10-15 Kawasaki Steel Corporation Antifuse element, semiconductor device having antifuse elements, and method for manufacturing the same
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5895255A (en) * 1994-11-30 1999-04-20 Kabushiki Kaisha Toshiba Shallow trench isolation formation with deep trench cap
US5789318A (en) * 1996-02-23 1998-08-04 Varian Associates, Inc. Use of titanium hydride in integrated circuit fabrication
JPH09312391A (ja) * 1996-05-22 1997-12-02 Toshiba Corp 半導体装置およびその製造方法
JP3101568B2 (ja) 1996-06-19 2000-10-23 三洋電機株式会社 半導体装置の製造方法
US5948702A (en) * 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
JP3003608B2 (ja) 1997-01-23 2000-01-31 日本電気株式会社 半導体装置の製造方法
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
TW400579B (en) * 1997-03-24 2000-08-01 United Microelectronics Corp Method for manufacturing semiconductor device with titanium nitride
JP3129232B2 (ja) * 1997-05-08 2001-01-29 日本電気株式会社 半導体装置の製造方法
TW353206B (en) * 1997-05-17 1999-02-21 United Microelectronics Corp Process for producing self-aligned salicide having high temperature stability
TW405155B (en) * 1997-07-15 2000-09-11 Toshiba Corp Semiconductor device and its manufacture
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
JPH11150084A (ja) * 1997-09-12 1999-06-02 Canon Inc 半導体装置および基板上への非晶質窒化硅素チタンの形成方法
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US6255413B1 (en) * 1997-12-16 2001-07-03 Phillips Petroleum Company Process to produce branched polymers from ethylene feedstock
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) * 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
DE19822749A1 (de) * 1998-05-20 1999-12-02 Siemens Ag Verfahren zur Erzeugung metallhaltiger Schichten
TW399302B (en) * 1998-08-06 2000-07-21 United Microelectronics Corp Structure of titanium salicide and the method for forming the same
JP2000058643A (ja) * 1998-08-10 2000-02-25 Sony Corp プラグの形成方法
JP4519280B2 (ja) * 1999-06-11 2010-08-04 東京エレクトロン株式会社 処理室をドライクリーニングするための装置及び方法
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
US6255179B1 (en) * 1999-08-04 2001-07-03 International Business Machines Corporation Plasma etch pre-silicide clean
KR100983165B1 (ko) * 1999-12-09 2010-09-20 도쿄엘렉트론가부시키가이샤 티탄실리콘나이트라이드막의 성막방법 및 반도체장치의 제조방법
JP4366805B2 (ja) 2000-01-24 2009-11-18 東京エレクトロン株式会社 埋め込み方法
KR100316721B1 (ko) * 2000-01-29 2001-12-12 윤종용 실리사이드막을 구비한 반도체소자의 제조방법
JP4348835B2 (ja) * 2000-05-26 2009-10-21 東京エレクトロン株式会社 クリーニング方法
US6225202B1 (en) * 2000-06-21 2001-05-01 Chartered Semiconductor Manufacturing, Ltd. Selective etching of unreacted nickel after salicidation
TWI334888B (ja) * 2000-09-08 2010-12-21 Tokyo Electron Ltd
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
KR100502673B1 (ko) * 2002-07-05 2005-07-22 주식회사 하이닉스반도체 반도체소자의 티타늄막 형성방법 및 배리어금속막 형성방법
KR20040057079A (ko) * 2002-12-24 2004-07-02 동부전자 주식회사 반도체 소자의 커패시터 및 콘택홀 동시 제조 방법
JP2009026864A (ja) * 2007-07-18 2009-02-05 Toshiba Corp 半導体装置の製造方法及び半導体装置
US8569810B2 (en) * 2010-12-07 2013-10-29 International Business Machines Corporation Metal semiconductor alloy contact with low resistance
US9006801B2 (en) * 2011-01-25 2015-04-14 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches
JP6056136B2 (ja) * 2011-09-07 2017-01-11 セントラル硝子株式会社 ドライエッチング方法
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9029920B2 (en) * 2013-06-04 2015-05-12 Globalfoundries Inc. Semiconductor devices and methods of fabrication with reduced gate and contact resistances
US9520303B2 (en) * 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472456B2 (en) * 2013-12-24 2016-10-18 Intel Corporation Technology for selectively etching titanium and titanium nitride in the presence of other materials
US9287134B2 (en) * 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US10418246B2 (en) * 2016-11-03 2019-09-17 Applied Materials, Inc. Remote hydrogen plasma titanium deposition to enhance selectivity and film uniformity
US10049891B1 (en) * 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal

Also Published As

Publication number Publication date
KR20180119113A (ko) 2018-11-01
US10903086B2 (en) 2021-01-26
TWI750364B (zh) 2021-12-21
JP2018186123A (ja) 2018-11-22
KR102093551B1 (ko) 2020-03-25
TW201907480A (zh) 2019-02-16
US20180308709A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
JP2019046994A (ja) エッチング方法
KR102363052B1 (ko) 피처리체를 처리하는 방법
US11127598B2 (en) Film etching method for etching film
CN110544628A (zh) 对膜进行蚀刻的方法和等离子体处理装置
US11637003B2 (en) Method for etching film and plasma processing apparatus
JP7467566B2 (ja) 半導体製造装置
US20090203219A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP6823533B2 (ja) チタンシリサイド領域を形成する方法
KR102149082B1 (ko) 실리콘 산화막을 제거하는 방법
JP2008192835A (ja) 成膜方法,基板処理装置,および半導体装置
JP7368545B2 (ja) 基板処理装置および方法
US20240035195A1 (en) Methods, systems, and apparatus for forming layers having single crystalline structures
WO2021049306A1 (ja) 成膜方法、成膜装置および成膜システム
TWI840524B (zh) 蝕刻基板之膜之方法及電漿處理裝置
US20200303203A1 (en) Method of etching film and plasma processing apparatus
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
US20090209108A1 (en) Substrate processing method
TW202338138A (zh) 具有一或多個壓力穩定腔室的叢集工具、系統、及方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201027

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210108

R150 Certificate of patent or registration of utility model

Ref document number: 6823533

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250