KR100852520B1 - 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체 - Google Patents

전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체 Download PDF

Info

Publication number
KR100852520B1
KR100852520B1 KR1020060013737A KR20060013737A KR100852520B1 KR 100852520 B1 KR100852520 B1 KR 100852520B1 KR 1020060013737 A KR1020060013737 A KR 1020060013737A KR 20060013737 A KR20060013737 A KR 20060013737A KR 100852520 B1 KR100852520 B1 KR 100852520B1
Authority
KR
South Korea
Prior art keywords
insulating film
forming
module
wiring
photoresist layer
Prior art date
Application number
KR1020060013737A
Other languages
English (en)
Other versions
KR20060018917A (ko
Inventor
에이이치 니시무라
겐야 이와사키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060018917A publication Critical patent/KR20060018917A/ko
Application granted granted Critical
Publication of KR100852520B1 publication Critical patent/KR100852520B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60CVEHICLE TYRES; TYRE INFLATION; TYRE CHANGING; CONNECTING VALVES TO INFLATABLE ELASTIC BODIES IN GENERAL; DEVICES OR ARRANGEMENTS RELATED TO TYRES
    • B60C27/00Non-skid devices temporarily attachable to resilient tyres or resiliently-tyred wheels
    • B60C27/06Non-skid devices temporarily attachable to resilient tyres or resiliently-tyred wheels extending over the complete circumference of the tread, e.g. made of chains or cables
    • B60C27/068Non-skid devices temporarily attachable to resilient tyres or resiliently-tyred wheels extending over the complete circumference of the tread, e.g. made of chains or cables the ground-engaging part being rigid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60BVEHICLE WHEELS; CASTORS; AXLES FOR WHEELS OR CASTORS; INCREASING WHEEL ADHESION
    • B60B11/00Units comprising multiple wheels arranged side by side; Wheels having more than one rim or capable of carrying more than one tyre
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60CVEHICLE TYRES; TYRE INFLATION; TYRE CHANGING; CONNECTING VALVES TO INFLATABLE ELASTIC BODIES IN GENERAL; DEVICES OR ARRANGEMENTS RELATED TO TYRES
    • B60C27/00Non-skid devices temporarily attachable to resilient tyres or resiliently-tyred wheels
    • B60C27/06Non-skid devices temporarily attachable to resilient tyres or resiliently-tyred wheels extending over the complete circumference of the tread, e.g. made of chains or cables
    • B60C27/10Non-skid devices temporarily attachable to resilient tyres or resiliently-tyred wheels extending over the complete circumference of the tread, e.g. made of chains or cables having tensioning means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

절연막의 표면상의 표면 손상층 및 절삭 잔부 등의 제거량의 제어를 용이하게 행할 수 있는 전자 디바이스의 제조방법을 제공한다.
웨이퍼(W)상의 배선(114)이 형성된 절연막(113)을 덮도록 저 유전율 층간 절연막(115)을 성막하고(B), 해당 저 유전율 층간 절연막(115)에 있어서 비어 홀(118)을 가공 성형하고(C), 저 유전율 층간 절연막(115)상에 동으로 이루어지는 도전 막(121)을 성막하는 동시에, 비어 홀(118)에 동을 충전하고(F), 도전 막(121)을 CMP에 의해 연마해서 저 유전율 층간 절연막(115)을 노출시켜(G), 절삭 잔부(116), 반응 생성물(117), 잔사, 및 유사 SiO2 층(124)을 표면상에 갖는 저 유전율 층간 절연막(115)을 암모니아 가스 및 불화수소 가스의 혼합 기체의 분위기에 폭로하고(H), 또한, 유사 SiO2 층(124)으로부터 변질된 생성물 층(123)을 소정의 온도로 가열한다(I).

Description

전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체{ELECTRONIC DEVICE MANUFACTURING METHOD, AND PROGRAM RECORDING MEDIUM}
도 1은 본 발명의 실시형태에 따른 기판의 처리 방법이 적용되는 기판 처리장치의 개략구성을 도시하는 평면도,
도 2는 도 1에 있어서의 제2의 프로세스 유닛의 단면도이며, 도 2a는 도 1에 있어서의 선II-II에 따른 단면도이고, 도 2b는 도 2a에 있어서의 A부의 확대도,
도 3은 도 1에 있어서의 제2의 프로세스 쉽의 개략구성을 도시하는 사시도,
도 4는 도 3에 있어서의 제2의 로드록 유닛의 유닛 구동용 드라이 에어 공급계의 개략구성을 도시한 도면,
도 5는 도 1의 기판처리장치에 있어서의 시스템 컨트롤러의 개략구성을 도시한 도면,
도 6은 본 실시형태에 따른 기판의 처리 방법을 도시하는 공정도,
도 7은 본 발명의 실시형태에 따른 화학기계 연마후 세정 방법을 도시하는 공정도,
도 8은 본 발명의 실시형태에 따른 전자 디바이스의 제조 방법을 도시하는 공정도,
도 9는 본 실시형태에 따른 기판의 처리 방법이 적용되는 기판 처리장치의 제1의 변형예의 개략구성을 도시하는 평면도,
도 10은 본 실시형태에 따른 기판의 처리 방법이 적용되는 기판 처리장치의 제2의 변형예의 개략구성을 도시하는 평면도,
도 11은 웨이퍼에 CMP을 실시하는 연마 장치의 개략구성을 도시한 도면.
도면의 주요 부분에 대한 부호의 설명
W : 웨이퍼 10, 137, 160 : 기판 처리장치
12 : 제2의 프로세스 쉽 13 : 로더 유닛
17 : 제1의 IMS 18 : 제2의 IMS
25 : 제1의 프로세스 유닛 34 : 제2의 프로세스 유닛
36 : 제3의 프로세스 유닛 37 : 제2의 반송 아암
38, 50, 70 : 챔버 39 : ESC
40 : 샤워 헤드 41 : TMP
42, 69 : APC 밸브 45 : 제1의 버퍼실
46 : 제2의 버퍼실 47, 48 : 가스 공기구멍
49 : 제2의 로드·록 실 51 : 스테이지 히터
57 : 암모니아 가스 공급 관 58 :불화 수소 가스 공급 관
59, 66, 72 : 압력 게이지 61 : 제2의 프로세스 유닛 배기계
65, 71 : 질소 가스 공급 관 67 : 제3의 프로세스 유닛 배기계
73 : 제2의 로드·록 유닛 배기계
74 : 대기 연통관 89 : EC
90, 91, 92 : MC 93 : 스위칭 허브
95 : GHOST 네트워크 97, 98, 99 : I/O 모듈
100 : I/0부 101, 110, 116 : 절삭 잔부
102, 111, 117 : 반응 생성물 103, 124 : 의사 SiO2
104, 104a, 106, 113 : 절연막 105, 112, 123 : 생성물 층
107 : 배선구 108 : 도전 막
109, 114 : 배선 115 : 저 유전율 층간 절연막
118 : 비어홀
139, 140, 141, 142, 161, 162 : 프로세스 유닛
170 : LAN 171 : PC
본 발명은, 기판의 처리 방법, 화학기계 연마후 세정 방법, 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록 매체에 관한 것으로서, 특히, 표면에 형성된 도전 막을 화학 기계연마에 의해 연마한 후, 플라즈마리스 에칭 처리를 실시하는 것에 따라 표면의 평탄성을 향상시키는 전자 디바이스의 제조 방법에 관한 것이다.
실리콘 웨이퍼(이하, 간단히「웨이퍼」라고 한다)로부터 전자 디바이스를 제조하는 전자 디바이스의 제조 방법에서는, 웨이퍼의 표면에 성막된 절연막상에 원하는 패턴의 포토 레지스트 층을 형성하는 리소그래피 공정, 포토레지스트 층을 마스크로서 사용하여 플라즈마에 의해 도전 막을 게이트 전극에 성형하고, 혹은 절연막에 배선 홈이나 콘택트 홀(contact hall)을 성형하는 에칭 공정, 배선 홈이나 콘택트 홀이 성형된 절연막 표면에 도전 막을 성막하는 PVD(Physical Vapor Deposition)등의 성막 공정, 및 성막된 도전 막을 제거해서 절연막을 노출시키는 동시에 해당 노출한 절연막의 표면을 평탄화하는 평탄화 공정(에치 백 공정)이 순차적으로 반복하여 실행된다.
최근, 평탄화 공정에서는, 종래에 사용되고 있었던 드라이 에칭이나 열 리플로우(reflow) 대신에, CMP(Chemical Mechanical Polishing)라 불리는 웨이퍼의 표면연마 방법이 사용되고 있다. CMP는 도 11에 도시하는 바와 같이, 폴리우레탄 등으로 이루어지는 연마 포(200)가 점착된 회전 테이블(201)에, 웨이퍼의 표면이 연마 포(200)에 밀착하도록 웨이퍼를 헤드(웨이퍼 유지부)(202)에 의해 가압하고, 연마 포(200)로 실리카(SiO2)를 주성분으로 하는 연마제(slurry)를 슬러리 공급 노즐(203)로부터 공급하고, 세정액을 공급하는 동시에, 회전 테이블(201) 및 헤드(202) 를 서로 독립시켜서 회전시키는 것에 따라 웨이퍼의 표면을 연마하는 방법이다. CMP 에서는, 연마제중의 SiO2 입자와 웨이퍼 표면의 도전 막이나 절연막의 물리적 접촉 및 SiO2 입자와 도전 막이나 절연막의 화학적 반응의 상승 효과에 의해 연마가 촉진되는 것으로 생각된다(예컨대, 일본 특허 공개 평성 제 9-251969 호 공보 참조).
또, 최근에, 전자 디바이스에 있어서의 배선 룰(rule)(요구 치수)의 미세화에 의해 현재화해 온 층간 절연막의 고 유전율에 기인하는 신호전달 속도의 저하를 방지하기 위해서, 층간절연막 재료로서 저 비유전률(Low-κ)의 재료(표 1 참조)가 사용되고 있다. 특히, 최근에는 동이 배선 재료로서 다용되기 때문에, 저 유전율 층간 절연막 재료로서, 탄소를 도프(dope)한 SiOC계의 저 유전율 재료가 사용된다. 또한, 보다 유전율이 낮은 포라스계 재료를 사용하는 것도 검토되고 있다. 또, 여기에서는, 3.0 이하의 비 유전률을 저 유전율이라 한다.
Figure 112006010467514-pat00001
그러나, CMP에 의해 노출한 절연막의 표면상에는, 절연막 하부의 배선 패턴의 밀도에 의존하는 절연막의 잔사(절삭 찌끼)나 SiO2 입자와 절연막의 구성재료의 반응 생성물이 발생한다.
또, 포라스계 재료로 이루어지는 층간 절연막은, 해당 막중의 다수의 공기 구멍 기인해서 기계적 강도가 낮고, 도전 막의 밀착성이 약하기 때문에, CMP에 있어서 통상의 압력으로 웨이퍼를 헤드(202)에 의해 가압하면, 층간 절연막의 도전 막으로부터의 박리나 층간 절연막의 붕괴가 발생한다. 이것에 대응하여, 층간 절연막 재료로서 포라스계 재료를 사용하는 경우에는, 저압, 예컨대, 약 1.0kPa 이하의 압력으로 웨이퍼를 가압할 필요가 있지만, 저압의 CMP에서는 층간 절연막을 충분히 연마할 수 없기 때문, CMP에 의해 연마된 층간 절연막의 표면에는 절삭 잔부가 발생한다.
상술한 절연막의 표면상의 잔사, 반응 생성물 및 절삭 잔부(이하, 간단히 「절삭 잔부 등」이라고 함)는, 웨이퍼로부터 제조되는 전자 디바이스의 배선 저항이나 전자 디바이스에 있어서의 컨덴서의 층간용량 이상의 요인이 되기 때문에 제거할 필요가 있다.
또, 저 유전율 절연막상에 성막된 도전 막을 CMP에 의해 연마했을 경우, 노출한 저 유전율 층간 절연막의 표면과 CMP에서 사용되는 슬러리나 세정 액과의 접촉에 기인하는 저 유전율 층간 절연막의 흡습에 의해, 해당 저유전율 층간 절연막이 화학적인 손상을 입고, 이로써, 해당 저 유전율 층간 절연막의 표면에 있어서 탄소 농도가 저하한 표면 손상층(데미지 층)이 형성된다.
이 표면 손상층은 SiO2(천연 산화물)에 유사한 특성을 가지고, 후속 공정으로서 실행되는 열처리 공정에 있어서 부피 수축을 일으켜서 절연막중에 보이드(공기 구멍)를 발생시키는 요인이 되므로, 해당 표면 손상층을 후속 공정실행 전에 미리 제거할 필요가 있다.
상술한 절연막의 표면상의 표면 손상층 및 절삭 잔부 등의 제거 공정으로서는, 수산화 4급 암모늄이나 극성 유기 아민 등으로 이루어지는 CMP후 (Post-CMP) 청정화 액에 의해 절연막의 표면을 청정화하는 청정화 공정이 알려져 있다.
그런데, 상술한 청정화 공정은 약액을 채용하는 웨트 에칭(wet etching) 정도에 해당하고, 청정화 공정에서는 청정화 액에 의해 표면손상 층 및 절삭 전부 등이 용이하게 용해하기 때문에, 표면 손상층 및 절삭 잔부 등의 제거량의 제어가 곤란하다는 문제가 있다. 여기에서, 청정화 액에 의해 표면손상층 및 절삭 잔부 등을 지나치게 용해하면, 절연막 하부에 배치되어 있었던 Cu 배선이 노출하고, 해당 Cu 배선이 청정화 액에 의해 침식(corrosion) 하는 일이 있다.
본 발명의 목적은, 절연막의 표면상의 표면 손상층 및 절삭 잔부 등을 제거 할수 있는 동시에, 표면 손상층 및 절삭 잔부 등의 제거량의 제어를 용이하게 실행할 수 있는 기판의 처리 방법, 화학기계 연마후 세정 방법, 전자 디바이스의 제조 방법 및 프로그램을 제공하는 것이다.
상기 목적을 달성하기 위해서, 청구항 1 기재의 기판의 처리 방법은, 화학 기계연마에 의해 노출한 절연막을 구비하는 기판의 처리 방법에 있어서, 상기 노출한 절연막을 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로하는 절연막 폭로 단계와, 상기 혼합 기체의 분위기에 폭로된 절연막을 소정의 온도로 가열하는 절연막가열 단계를 갖는 것을 특징으로 한다.
청구항 2에 기재된 처리 방법은, 제 1 항에 있어서, 상기 노출한 절연막은 저 유전율 절연막인 것을 특징으로 한다.
청구항 3에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 절연막 폭로 단계는, 상기 기판에 플라즈마리스 에칭 처리를 실시하는 것을 특징으로 한다.
청구항 4에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 절연막 폭로 단계는, 상기 기판에 건조 세정 처리를 실시하는 것을 특징으로 한다.
청구항 5에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 부피 유량비는 1∼1/2이며, 상기 소정의 압력은 6.7×10-2∼4.0pa인 것을 특징으로 한다.
청구항 6에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 소정의 온도는 80∼200℃인 것을 특징으로 한다.
청구항 7에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 노출한 절연막의 형상을 측정하고, 해당 측정된 형상에 따라 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화수소의 부피 유량비 및 상기 소정의 압력의 적어도 하나를 결정하는 생성물 생성 조건 결정 단계를 더 갖는 것을 특징으로 한다.
청구항 8에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 노출한 절연막은 상기 화학 기계연마에 의해 생긴 절삭 잔부를 갖는 것을 특징으로 한다.
청구항 9에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 노출한 절연막은 상기 화학 기계연마에 있어서 사용된 연마제에 기인하는 반응 생성물을 갖는 것을 특징으로 한다.
청구항 10에 기재된 처리 방법은, 제 1 항 또는 제 2 항에 있어서, 상기 절연막은 탄소 농도가 저하한 표면 손상층을 갖는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 11에 기재된 화학 기계연마후 세정방법은, 기판의 표면에 성막된 절연막상에 형성된 도전 막을 화학 기계연마에 의해 연마한 후에 상기 기판에 실시되는 화학 기계연마후 세정 방법에 있어서, 상기 화학 기계연마에 의해 노출한 상기 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 절연막 폭로 단계와, 상기 혼합 기체의 분위기에 폭로된 상기 절연막을 소정의 온도로 가열하는 절연막 가열 단계를 갖는 것을 특징으로 한다.
청구항 12에 기재된 화학 기계연마후 세정방법은, 제 11 항에 있어서, 상기 노출한 상기 절연막을 상기 혼합 기체의 분위기에 폭로하기 전에, 상기 노출한 절연막의 표면을 건조하는 절연막 건조 단계를 갖는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 13에 기재된 전자 디바이스의 제조방법은, 반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 단계와, 상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 단계와, 상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 단계와, 해당 형성된 포토레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 단계와, 상기 포토 레지스트 층을 제거하는 애싱 단계와, 상기 제2의 절연막상에, 제2의 도전성 재 료로 이루어지는 도전 막을 성막해서 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 단계와, 상기 성막된 도전 막을 화학 기계연마에 의해 연마하는 도전 막 연마 단계와, 상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 단계와, 상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 단계를 갖는 것을 특징으로 한다.
청구항 14에 기재된 전자 디바이스의 제조방법은, 제 13 항에 있어서, 상기 가공 성형된 접속 구멍의 표면을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 접속 구멍표면 폭로 단계와, 상기 혼합 기체의 분위기에 폭로된 접속 구멍의 표면을 소정의 온도로 가열하는 접속 구멍 표면 가열 단계를 갖는 것을 특징으로 한다.
청구항 15에 기재된 전자 디바이스의 제조방법은, 제 14 항에 있어서, 상기 소정의 온도로 가열된 접속 구멍의 표면을 도전성 배리어로 피막하는 접속 구멍 피막 단계를 더 구비하는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 16에 기재된 전자 디바이스의 제조방법은, 반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 배선 형성 단계와, 상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 단계와, 상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 단계와, 해당 형성된 포토 레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 단계와, 상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막하여 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 단계와, 상기 포토 레지스트 층 및 상기 성막된 도전 막을 화학 기계연마에 의해 연마하는 도전 막 연마 단계와, 상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 단계와, 상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 단계를 갖는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 17에 기재된 프로그램을 기록한 기록매체는, 화학 기계연마에 의해 노출한 절연막을 구비하는 기판의 처리 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록매체에 있어서, 상기 노출한 절연 막을 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로하는 절연막 폭로 모듈과, 상기 혼합 기체의 분위기에 폭로된 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 갖는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 18에 기재된 프로그램을 기록한 기록매체는, 기판의 표면에 성막된 절연막상에 형성된 도전 막을 화학 기계연마에 의해 연마한 후에 상기 기판에 실시시되는 화학 기계연마 후 세정 방법을 컴퓨터로 실행시키는 프로그램을 기록한 기록매체에 있어서, 상기 화학 기계연마에 의해 노출한 상기 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체 의 분위기에 폭로하는 절연막 폭로 모듈과, 상기 혼합 기체의 분위기에 폭로된 상기 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 갖는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 19에 기재된 프로그램을 기록한 기록매체는, 전자 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록매체에 있어서, 반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 배선 형성 모듈과, 상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 모듈과, 상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 모듈과, 해당 형성된 포토 레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 모듈과, 상기 포토레지스트 층을 제거하는 애싱 모듈과, 상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막 해서 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 모듈과, 상기 성막된 도전 막을 화학 기계연마에 의해 연마하는 도전 막 연마 모듈과, 상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 모듈과, 상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 모듈을 갖는 것을 특징으로 한다.
상기 목적을 달성하기 위해서, 청구항 20에 기재된 프로그램을 기록한 기록 매체는, 전자 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록매체에 있어서, 반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 배선 형성 모듈과, 상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 모듈과, 상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 모듈과, 해당 형성된 포토 레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 모듈과, 상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막 해서 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 모듈과, 상기 포토레지스트 층 및 상기 성막된 도전 막을 화학기계 연마에 의해 연마하는 도전막 연마 모듈과, 상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 모듈과, 상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 모듈을 갖는 것을 특징으로 한다.
이하, 본 발명의 실시형태에 대해서 도면을 참조하면서 설명한다. 우선, 본 발명의 실시형태에 따른 기판의 처리 방법에 대해서 설명한다. 도 1은, 본 실시형태에 따른 기판의 처리 방법이 적용되는 기판처리장치의 개략구성을 도시하는 평면 도이다.
도 1에 있어서, 기판 처리장치(10)는, 전자 디바이스용의 웨이퍼(이하, 간단히「웨이퍼」라고 함)(기판)(W)에 반응성 이온 에칭(ion etching)(이하,「RIE」라고 함)처리를 실시하는 제1의 프로세스 쉽(11)과, 해당 제1의 프로세스 쉽(11)과 평행하게 배치되어, 웨이퍼(W)에 후술하는 COR(Chemical 0xide Removal)처리 및 PHT(Post Heat Treatment) 처리를 실시하는 제2의 프로세스 쉽(12)과, 제1의 프로세스 쉽(11) 및 제2의 프로세스 쉽(12)이 각각 접속된 직사각 형상의 공통 반송실로서의 로더 유닛(13)을 구비한다.
로더 유닛(13)에는, 상술한 제1의 프로세스 쉽(11) 및 제2의 프로세스 쉽(12) 외에, 25매의 웨이퍼(W)를 수용하는 용기로서의 후프(hoop)(Front Opening Unified Pod)(14)가 각각 탑재되는 3개의 후프 탑재대(15)와, 후프(14)로 반출된 웨이퍼(W)의 위치를 프리얼라인먼트하는 오리엔터(orienter)(16)와, 웨이퍼(W)의 표면상태를 계측하는 제1 및 제2의 IMS(Integrated Metrology System, Therma-Wave, Inc.)(17, 18)가 접속되어 있다.
제1의 프로세스 쉽(11) 및 제2의 프로세스 쉽(12)은, 로더 유닛(13)의 길이 방향에 있어서의 측벽에 접속되는 동시에 로더 유닛(13)을 협지하여 3개의 후프 탑재대(15)와 대향하도록 배치되고, 오리엔터(16)는 로더 유닛(13)의 길이 방향에 관한 일단에 배치되고, 제1의 IMS(17)는 로더 유닛(13)의 길이 방향에 관한 타 단에 배치되고, 제2의 IMS(18)는 3개의 후프 탑재대(15)와 병렬로 배치된다.
로더 유닛(13)은, 내부에 배치된 웨이퍼(W)를 반송하는 스칼라(scalar)형듀 얼 타입의 반송 아아암 기구(19)와, 각 후프 탑재대(15)에 대응하도록 측벽에 배치된 웨이퍼(W)의 투입구로서의 3개의 로드 포트(20)를 갖는다. 반송 아암 기구(19)는, 후프 탑재대(15)에 탑재된 후프(14)로부터 웨이퍼(W)를 로드 보트(LOAD boat)(20)를 거쳐 집어내고, 해당 집어낸 웨이퍼(W)를 제1의 프로세스 쉽(11), 제2의 프로세스 쉽(12), 오리엔터(16), 제1의 IMS(17)이나 제2의 IMS(18)에 반출입한다.
제1의 IMS(17)은 광학계의 모니터이며, 반입된 웨이퍼(W)를 탑재하는 탑재대(21)와, 해당 탑재대(21)에 탑재된 웨이퍼(W)를 지향하는 광학 센서(22)를 갖고, 웨이퍼(W)의 표면형상, 예컨대, 표면층의 막 두께 및 배선 홈이나 게이트 전극 등의 CD(Critical Dimension)치를 측정한다. 제2의 IMS(18)도 광학계의 모니터이며, 제1의 IMS(17)와 같이, 탑재대(23)와 광학 센서(24)를 갖고, 웨이퍼(W)의 표면에 있어서의 파티클 수를 계측한다.
제1의 프로세스 쉽(11)은, 웨이퍼(W)에 RIE 처리를 실시하는 제1의 진공처리 실로서의 제1의 프로세스 유닛(25)과, 해당 제1의 프로세스 유닛(25)에 웨이퍼(W)를 주고받는 링형 싱글 픽 타입의 제1의 반송 아암(26)을 내장하는 제1의 로드·록 유닛(27)를 갖는다.
제1의 프로세스 유닛(25)은, 원통형의 처리실 용기(챔버)와, 해당 챔버 내에 배치된 상부전극 및 하부전극을 갖고, 해당 상부전극 및 하부전극의 사이의 거리는 웨이퍼(W)에 RIE 처리를 실시하기 위한 적절한 간격으로 설정되어 있다. 또한, 하부 전극은 웨이퍼(W)를 쿨롱(coulomb) 힘 등에 의해 체크하는 ESC(28)을 그 정상부 에 갖는다.
제1의 프로세스 유닛(25)에서는, 챔버 내부에 처리 가스를 도입하고, 상부전극 및 하부전극간에 전계를 발생시키는 것에 따라 도입된 처리 가스를 플라즈마화해서 이온 및 래디컬을 발생시키고, 해당 이온 및 래디컬에 의해 웨이퍼(W)에 RIE 처리를 실시한다.
제1의 프로세스 쉽(11)에서는, 로더 유닛(13)의 내부압력은 대기압으로 유지되는 한편, 제1의 프로세스 유닛(25)의 내부압력은 진공으로 유지된다. 그 때문에, 제1의 로드·록 유닛(27)은, 제1의 프로세스 유닛(25)과의 연결부에 진공 게이트 밸브(29)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 게이트 밸브(30)를 구비하는 것에 따라, 그 내부압력을 조정 가능한 진공 예비반송실로서 구성된다.
제1의 로드·록 유닛(27)의 내부에는, 대략 중앙부에 제1의 반송 아암(26)이 설치되고, 해당 제1의 반송 아암(26)보다 제1의 프로세스 유닛(25)측에 제1의 버퍼(31)가 설치되고, 제1의 반송 아암(26)보다 로더 유닛(13)측에는 제2의 버퍼(32)가 설치된다. 제1의 버퍼(31) 및 제2의 버퍼(32)은, 제1의 반송 아암(26)의 선단부에 배치된 웨이퍼(W)를 지지하는 지지부(픽)(33)이 이동하는 궤도상에 배치되어, RIE 처리가 실시된 웨이퍼(W)를 일시적으로 지지부(33)의 궤도의 상방으로 대피시킴으로써, RIE 미처리의 웨이퍼(W)와 RIE 처리완료의 웨이퍼(W)의 제1의 프로세스 유닛(25)에 있어서의 원활한 교체를 가능하게 한다.
제2의 프로세스 쉽(12)은, 웨이퍼(W)에 COR 처리를 실시하는 제2의 진공처리 실로서의 제2의 프로세스 유닛(34)과, 해당 제2의 프로세스 유닛(34)에 진공 게이트 밸브(35)를 거쳐서 접속된, 웨이퍼(W)에 PHT 처리를 실시하는 제3의 진공처리 실로서의 제3의 프로세스 유닛(36)과, 제2의 프로세스 유닛(34) 및 제2의 프로세스 유닛(36)에 웨이퍼(W)를 주고받는 링형 싱글 픽 타입의 제2의 반송 아암(37)을 내장하는 제2의 로드·록 유닛(49)을 갖는다.
도 2는, 도1에 있어서의 제2의 프로세스 유닛의 단면도이며, 도 2(a)는 도1에 있어서의 선II-II에 따른 단면도이며, 도 2(b)은 도2(a)에 있어서의 A부의 확대 도이다.
도 2(a)에 있어서, 제2의 프로세스 유닛(34)은, 원통형의 처리실 용기(챔버)(38)와, 해당 챔버(38)내에 배치된 웨이퍼(W)의 탑재대로서의 ESC(39)와, 챔버(38)의 상방에 배치된 샤워헤드(40)와, 챔버(38)내의 가스 등을 배기하는 TMP(Turbo Molecular Pump)(41)와, 챔버(38) 및 TMP(41)의 사이에 배치되어, 챔버(38)내의 압력을 제어하는 가변식 버터를라이 밸브(butterfly valve)로서의 APC(Automatic Pressure Contro1) 밸브(42)를 갖는다.
ESC(39)는, 내부에 직류전압이 전압을 인가되는 전극판(도시하지 않음)을 갖고, 직류전압에 의해 발생하는 쿨롱 힘 또는 죤슨·라벡(Johnsen-Rahbek) 힘에 의해 웨이퍼(W)를 흡착해서 유지한다. 또한, ESC(39)는 온도 조절 기구로서 냉매실(도시하지 않음)을 갖는다. 이 냉매실에는 소정온도의 냉매, 예컨대, 냉각수나 갈덴 액이 순환 공급되어, 해당 냉매의 온도에 의해 ESC(39)의 상면에 흡착 유지된 웨이퍼(W)의 처리 온도가 제어된다. 또, ESC(39)는, ESC(39)의 상면과 웨이퍼(W) 의 이면과의 사이에 열전도 가스(헬륨 가스)를 빈틈 없이 공급하는 열전도 가스 공급 계통(도시하지 않음)을 갖는다. 열전도 가스는, COR 처리의 사이, 냉매에 의해 원하는 지정 온도에 유지된 ESC(39)과 웨이퍼(W)의 열교환을 실행하고, 웨이퍼(W)를 효율적으로 또한 균일하게 냉각한다.
또, ESC(39)는, 그 상면으로부터 돌출 가능한 리프트 핀으로서의 복수의 푸셔 핀(56)을 갖고, 이들의 푸셔 핀(56)은, 웨이퍼(W)가 ESC(39)에 흡착 유지될 때에는 ESC(39)에 수용되고, COR 처리가 실시된 웨이퍼(W)를 챔버(38)로부터 반출할때에는, ESC(39)의 상면으로부터 돌출해서 웨이퍼(W)를 상방으로 들어 올린다.
샤워 헤드(40)는 2층구조를 갖고, 하층부(43) 및 상층부(44)의 각각 제1의 버퍼실(45) 및 제2의 버퍼실(46)을 갖는다. 제1의 버퍼실(45) 및 제2의 바투(Vatu) 파(fa) 실46은 가스 공기 구멍(47, 48) 을 거쳐서 챔버(38)내에 연통 한다.즉, 샤워 헤드(40)은, 제1의 버퍼실(45) 및 제2의 버퍼실(46)에 각각 공급되는 가스의 챔버(38)내로의 내부통로를 갖는, 계단 층형상으로 적층된 2개의 판형상체[하층부(43), 상층부(44)]로 이루어진다.
웨이퍼(W)에 COR 처리를 실시할 때, 제1의 버퍼실(45)에는 NH3(암모니아)가스가 후술하는 암모니아 가스 공급 관(57)으로부터 공급되어, 해당 공급된 암모니아 가스는 가스 공기통(47)을 거쳐서 챔버(38)내에 공급되는 동시에, 제2의 버퍼실(46)에는 HF(불화 수소)가스가 후술하는 불화수소 가스 공급 관(58)으로부터 공급되고, 해당 공급된 불화수소 가스는 가스 공기구멍(48)을 거쳐서 챔버(38)내에 공 급된다.
또, 샤워 헤드(40)는 히터(도시하지 않음), 예컨대, 가열 소자를 내장한다. 이 가열 소자는, 바람직하게는, 상층부(44)상에 배치되어서 제2의 버퍼실(46)내의 불화수소 가스의 온도를 제어한다.
또, 도 2(b)에 도시하는 바와 같이, 가스 공기통(47, 48)에 있어서의 챔버(38)내로의 개구부는 점차 끝이 확대하는 형상으로 형성된다. 이로써, 암모니아 가스나 불화수소 가스를 챔버(38)내에 효율적으로 확산할 수 있다. 또, 가스 공기구멍(47, 48)은 단면이 잘록한 형상을 보이므로, 챔버(38)에서 발생한 퇴적물이 가스 공기구멍(47, 48), 나아가서는 제1의 버퍼실(45)이나 제2의 버퍼실(46)에 역류하는 것을 방지 할 수 있다. 또, 가스 공기 구멍(47, 48)은 나선 형상의 공기구멍이어도 무방하다.
이 제2의 프로세스 유닛(34)은, 챔버(38)내의 압력과, 암모니아 가스 및 불화수소 가스의 부피 유량비를 조정하는 것에 따라 웨이퍼(W)에 COR 처리를 실시한다. 또한, 이 제2의 프로세스 유닛(34)은, 챔버(38)내에 있어서 처음으로 암모니아 가스 및 불화수소 가스가 혼합하도록 설계되어 있기 때문에(포스트 믹스 설계), 챔버(38) 내에 상기 2종류의 가스가 도입될 때까지, 당해 2종류의 가스가 혼합하는 것을 방지하여, 불화 수소가스와 암모니아 가스가 챔버(38) 내로 도입 전에 반응하는 것을 방지한다.
또, 제2의 프로세스 유닛(34)에서는, 챔버(38)의 측벽이 히터(도시하지 않음), 예컨대, 가열 소자를 내장하고, 챔버(38)내의 분위기 온도가 저하하는 것을 방지한다. 이로써, COR처리의 재현성을 향상할 수 있다. 또한, 측벽내의 가열 소자는, 측벽의 온도를 제어하는 것에 따라 챔버(38)내에 발생한 부 생성물이 측벽의 내측에 부착되는 것을 방지한다.
도 1에 되돌아가서, 제3의 프로세스 유닛(36)은, 통체 형상의 처리실 용기(챔버)(50)과, 해당 챔버(50)내에 배치된 웨이퍼(W)의 탑재대로서의 스테이지 히터(51)와, 해당 스테이지히터(51)의 주위에 배치되어, 스테이지히터(51)에 탑재된진 웨이퍼(W)를 상방으로 들어 올리는 버퍼 아암(52)과, 챔버내 및 외부 분위기를 차단하는 개폐 가능한 커버로서의 PHT 챔버 리드(도시하지 않음)를 갖는다.
스테이지 히터(51)는, 표면에 산화 피막이 형성된 알루미늄으로 이루어지고, 내장된 전열선 등에 의해 탑재된 웨이퍼(W)를 소정의 온도까지 가열한다. 구체적으로는, 스테이지 히터(51)는 탑재된 웨이퍼(W)를 적어도 1분간에 걸쳐서 100∼200℃, 바람직하게는 약 135℃ 까지 직접 가열한다.
PHT 챔버 리드에는 실리콘 고무제의 시트 히터가 배치된다. 또한, 챔버(50)의 측벽에는 카트리지 히터(도시하지 않음)이 내장되고, 해당 카트리지 히터는 챔버(50)의 측벽의 벽면 온도를 25∼80℃로 제어한다. 이로써, 챔버(50)의 측벽에 부 생성물이 부착되는 것을 방지하고, 부착된 부 생성물에 기인하는 파티클의 발생을 방지해서 챔버(50)의 크리닝(laundering) 주기를 연신한다. 또, 챔버(50)의 외주는 열 실드(shield)에 의해 덮어져 있다.
웨이퍼(W)를 상방으로부터 가열하는 히터로서, 상술한 시트 히터의 대신에, 자외선 방사(UV radiation)히터를 배치해도 무방하다. 자외선 방사 히터로서는, 파장 190∼400nm의 자외선을 방사하는 자외선 램프 등이 해당한다.
버퍼 아암(52)은, COR처리가 실시된 웨이퍼(W)를 일시적으로 제2의 반송 암(37)에 있어서의 지지부(53)의 궤도의 상방으로 대피시킴으로써, 제2의 프로세스 유닛(34(이나 제3의 프로세스 유닛(36)에 있어서의 웨이퍼(W)의 원활한 교체를 가능하게 한다.
이 제3의 프로세스 유닛(36)은, 웨이퍼(W)의 온도를 조정하는 것에 따라 웨이퍼(W)에 PHT 처리를 실시한다.
제2의 로드·록 유닛(49)은, 제2의 반송 아암(37)을 내장하는 통체 형상의 반송실(챔버)(70)를 갖는다. 또한, 로더 유닛(13)의 내부 압력은 대기압으로 유지되는 한편, 제2의 프로세스 유닛(34) 및 제3의 프로세스 유닛(36)의 내부압력은 진공으로 유지된다. 그 때문에, 제2의 로드·록 유닛(49)은, 제3의 프로세스 유닛(36)과의 연결부에 진공 게이트 밸브(54)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 도어 밸브(55)를 구비하는 것에따라, 그 내부압력을 조정 가능한 진공 예비 반송실로서 구성된다.
도 3은, 도 1에 있어서의 제2의 프로세스 쉽의 개략구성을 도시하는 사시도이다.
도 3에 있어서, 제2의 프로세스 유닛(34)은, 제1의 버퍼실(45)로 암모니아 가스를 공급하는 암모니아 가스 공급관(57)과, 제 2의 버퍼실(46)로 불화 수소 가스를 공급하는 불화 수소 가스 공급관(58)과, 챔버(38) 내의 압력을 측정하는 압력 게이지(59)와, ESC(39) 내에 배치된 냉각 계통에 냉매를 공급하는 칠러 유닛(60)을 구비한다.
암모니아 가스 공급 관(57)에는 MFC(Mass Flow Controller)(도시하지 않음)이 설정되고, 해당 MFC은 제1의 버퍼실(45)에 공급하는 암모니아 가스의 유량을 조정하는 동시에, 불화 수소 가스 공급 관(58)에도 MFC(도시하지 않음)이 설정되고, 해당 MFC은 제2의 버퍼실(46)에 공급하는 불화 수소 가스의 유량을 조정한다. 암모니아 가스 공급 관(57)의 MFC과 불화 수소 가스 공급 관(58)의 MFC은 협동하여, 챔버(38)에 공급되는 암모니아 가스와 불화 수소 가스의 부피 유량비를 조정한다.
또, 제2의 프로세스 유닛(34)의 하방에는, DP(드라이 Pump)(도시하지 않음)에 접속된 제2의 프로세스 유닛 배기계(61)가 배치된다. 제2의 프로세스 유닛 배기계(61)는, 챔버(38)와 APC 밸브(42)의 사이에 배설된 배기 덕트(62)과 연통 하는 배기관(63)과, TMP(41)의 하방(배기측)에 접속된 배기관(64)을 갖고, 챔버(38)내의 가스 등을 배기한다. 또, 배기관(64)은 DP의 앞에 있어서 배기관(63)에 접속된다.
제 3의 프로세스 유닛(36)은, 챔버(50)에 질소(N2)가스를 공급하는 질소 가스 공급 관(65)과, 챔버(50)내의 압력을 측정하는 압력 게이지(66)와, 챔버(50)내의 질소 가스 등을 배기하는 제3의 프로세스 유닛 배기계(67)를 구비한다.
질소 가스 공급 관(65)에는 MFC(도시하지 않음)이 설정되고, 해당 MFC은 챔버(50)로 공급되는 질소 가스의 유량을 조정한다. 제3의 프로세스 유닛 배기계(67)는, 챔버(50)에 연통하는 동시에 DP에 접속된 본 배기관(68)과, 해당 본 배기관(68)의 도중에 배치된 APC 밸브(69)와, 본 배기관(68)로부터 APC밸브(69)를 피하 도록 분기하고, 또한 DP의 앞에 있어서 본 배기관(68)에 접속되는 부 배기관(68a)을 갖는다. APC 밸브(69)는, 챔버(50)내의 압력을 제어한다.
제 2의 로드·록 유닛(49)은, 챔버(70)에 질소 가스를 공급하는 질소 가스 공급 관(71)과, 챔버(70) 내의 압력을 측정하는 압력 게이지(72)와, 챔버(70)내의 질소 가스 등을 배기하는 제2의 로드·록 유닛 배기계(73)와, 챔버(70)내를 대기 개방하는 대기 연통관(74)을 구비한다.
질소 가스 공급 관(71)에는 MFC(도시하지 않음)이 설정되고, 해당 MFC은 챔버(70)로 공급되는 질소 가스의 유량을 조정한다. 제2의 로드·록 유닛 배기계(73)는 1개의 배기관으로 이루어지고, 해당 배기관은 챔버(70)에 연통하는 동시에, DP의 앞에 있어서 제3의 프로세스 유닛 배기계(67)에 있어서의 본 배기관(68)에 접속된다. 또한, 제2의 로드·록 유닛 배기계(73) 및 대기 연통관(74)은 개폐 자유자재인 배기 밸브(75) 및 릴리프 밸브(76)를 갖고, 해당 배기 밸브(75) 및 릴리프 밸브(76)는 협동해서 챔버(70)내의 압력을 대기압으로부터 원하는 진공도까지 중 어느 하나로 조정한다.
도 4는, 도 3에 있어서의 제2의 로드·록 유닛의 유닛 구동용 드라이 에어 공급계의 개략 구성을 도시한 도면이다.
도 4에 있어서, 제2의 로드·록 유닛(49)의 유닛 구동용 드라이 에어 공급계(77)의 드라이 에어 공급처로서는, 대기 도아 밸브(55)가 갖는 슬라이드 도어 구동용의 도어 밸브 실린더, N2 퍼지 유닛으로서의 질소 가스 공급 관(71)이 갖는 MFC, 대기 개방용의 릴리프 유닛으로서의 대기 연통관(74)이 갖는 릴리프 밸브(76), 진공 흡인 유닛으로서의 제2의 로드·록 유닛 배기계(73)가 갖는 배기 밸브(75) 및 진공 게이트 밸브(54)가 갖는 슬라이드 게이트 구동용의 게이트 밸브 실린더가 해당된다.
유닛 구동용 드라이 에어 공급계(77)는, 제2의 프로세스 쉽(12)이 구비하는 본 드라이 에어 공급 관(78)으로부터 분기된 부 드라이 에어 공급 관(79)과, 해당 부 드라이 에어 공급 관(79)에 접속된 제1의 솔레노이드 밸브(80) 및 제2의 솔레노이드 밸브(81)를 구비한다.
제 1의 솔레노이드 밸브(80)는, 드라이 에어 공급 관(82, 83, 84, 85)의 각각 을 거쳐서 도어 밸브 실린더, MFC, 릴리프 밸브(76) 및 게이트 밸브 실린더에 접속되어, 이들로의 드라이 에어의 공급량을 제어하는 것에 따라 각 부의 동작을 제어한다. 또한, 제 2의 솔레노이드 밸브(81)는, 드라이 에어 공급 관(86)을 거쳐서 배기 밸브(75)에 접속된 배기 벨브(75)로의 드라이 에어의 공급량을 제어하는 것에 의해 배기 밸브(75)의 동작을 제어한다.
또, 질소 가스 공급 관(71)에 있어서의 MFC은 질소(N2)가스 공급계(87)에도 접속되어 있다.
또, 제 2의 프로세스 유닛(34)이나 제3의 프로세스 유닛(36)도, 상술한 제2의 로드·록 유닛(49)의 유닛 구동용 드라이 에어 공급계(77)와 같은 구성을 갖는 유닛 구동용 드라이 에어 공급계를 구비한다.
도 1로 돌아가서, 기판 처리장치(10)는, 제1의 프로세스 쉽(11), 제2의 프로세스 쉽(12) 및 로더 유닛(13)의 동작을 제어하는 시스템 컨트롤러와, 로더 유닛(13)의 길이 방향에 관한 일단에 배치된 오퍼레이션 컨트롤러(88)를 구비한다.
오퍼레이션 컨트롤러(88)는, 예를 들면 LCD(Liquid Crystal Display)로 이루어지는 표시부를 갖고, 해당 표시부는 기판 처리장치(10)의 각 구성요소의 동작 상황을 표시한다.
또, 도 5에 도시하는 바와 같이, 시스템 컨트롤러는, EC(Equipment Controller)(89)와, 3개의 MC(Module Controller)(90, 91, 92)와, EC(89) 및 각 MC를 접속하는 스위칭 허브(93)를 구비한다. 해당 시스템 컨트롤러는 EC(89)로부터 LAN(Local Area Network)(170)을 거쳐서, 기판 처리장치(10)가 설치되어 있는 공장 전체의 제조 공정을 관리하는 MES(Manufacturing Execution System)로서의 PC(171)에 접속되어 있다. MES는, 시스템 컨트롤러와 연계해서 공장에 있어서의 공정에 관한 실시간(real time) 정보를 기간업무 시스템(도시하지 않음)에 피드백하는 동시에, 공장 전체의 부하 등을 고려해서 공정에 관한 판단을 실행한다.
EC(89)는, 각 MC을 통괄해서 기판 처리장치(10)전체의 동작을 제어하는 주 제어부(마스터 제어부)이다. 또한, EC(89)는, CPU, RAM, HDD 등을 갖고, 오퍼레이션 컨트롤러(88)에 있어서 유저 등에 의해 지정된 웨이퍼(W)의 처리 방법, 즉, 요리 처리법에 대응하는 프로그램에 따라 CPU가, 각 MC에 제어 신호를 송신함로써, 제1의 프로세스 쉽(11), 제2의 프로세스 쉽(12) 및 로더 유닛(13)의 동작을 제어한다.
스위칭 허브(93)는 EC(89)로부터의 제어 신호에 따라 EC(89)의 접속처로서의 MC를 바꾼다.
MC(90, 91, 92)는 각각 제1의 프로세스 쉽(11), 제2의 프로세스 쉽(12) 및 로더 유닛(13)의 동작을 제어하는 부 제어부[슬레이브(slave)제어부]이다. 각MC는, DIST(Distribution) 포트(96)에 의해서 GHOST 네트워크(95)를 거쳐 각 I/O(입출력)모듈(97, 98, 99)에 각각 접속된다. GHOST 네트워크(95)는, MC이 갖는 MC 보드에 탑재된 GHOST(General High-Speed Optimum Scalable Transceiver)라 지칭되는 LSI에 의해 실현되는 네트워크이다. GHOST 네트워크(95)에는, 최대로 31개의 I/O모듈을 접속 가능하고, GHOST 네트워크(95)에서는, MC가 마스터에 해당하고, I/O 모듈이 슬레이브(slave)에 해당한다.
I/O모듈(98)은, 제2의 프로세스 쉽(12)에 있어서의 각 구성요소(이하,「엔드(엔드) 디바이스」라고 함)에 접속된 복수의 I/O부(100)로 이루어지고, 각 엔드 디바이스로의 제어 신호 및 각 엔드 디바이스로부터의 출력 신호의 전달을 실행한다. I/O 모듈(98)에 있어서 I/O부(100)에 접속되는 엔드 디바이스에는, 예컨대, 제2의 프로세스 유닛(34)에 있어서의 암모니아 가스 공급 관(57)의 MFC, 불화수소 가스 공급 관(58)의 MFC, 압력 게이지(59) 및 APC 밸브(42), 제3의 프로세스 유닛(36)에 있어서의 질소 가스 공급 관(65)의 MFC, 압력 게이지(66), APC밸브(69), 버퍼 아암(52) 및 스테이지 히터(51), 제2의 로드·록 유닛(49)에 있어서의 질소 가스 공급 관(71)의 MFC, 압력 게이지(72) 및 제2의 반송 아암(37), 및 유닛 구동용 드라이 에어 공급계(77)에 있어서의 제1의 솔레노이드 밸브(80) 및 제2의 솔레노이드 밸브 (81)등이 해당한다.
또, I/O 모듈(97, 99)은, I/O 모듈(98)과 같은 구성을 갖고, 제1의 프로세스 쉽(11)에 대응하는 MC(90) 및 I/O모듈(97)의 접속 관계, 및 로더 유닛(13)에 대응하는 MC(92) 및 I/O모듈(99)의 접속 관계도, 상술한 MC(91) 및 I/0모듈(98)의 접속 관계와 같은 구성이기 때문에, 이들의 설명을 생략한다.
또, 각 GHOST 네트워크(95)에는, I/O부(100)에 있어서의 디지털 신호, 아날로그 신호 및 시리얼 신호의 입출력을 제어하는 I/0보드(도시하지 않음)도 접속된다.
기판 처리장치(10)에 있어서, 웨이퍼(W)에 COR 처리를 실시하는 때는, COR 처리의 레시피에 대응하는 프로그램에 따라 EC(89)의 CPU가, 스위칭 허브(93), MC(91), GHOST 네트워크(95) 및 I/O 모듈(98)에 있어서의 I/O부(100)를 거쳐서, 원하는 엔드 디바이스에 제어 신호를 송신함으로써 제2의 프로세스 유닛(34)에 있어서 COR 처리를 실행한다.
구체적으로는, CPU가, 암모니아 가스 공급 관(57)의 MFC 및 불화수소 가스 공급 관(58)의 MFC에 제어 신호를 송신함으로써 챔버(38)에 있어서의 암모니아 가스 및 불화수소 가스의 부피 유량비를 원하는 값으로 조정하고, TMP(41) 및 APC 밸브(42)에 제어 신호를 송신함으로써 챔버(38)내의 압력을 원하는 값으로 조정한다. 또한, 이 때, 압력 게이지(59)이 챔버(38)내의 압력값을 출력 신호로서 EC(89)의 CPU에 송신하고, 해당 CPU는 송신된 챔버(38)내의 압력값에 근거하여, 암모니아 가스 공급 관(57)의 MFC, 불화수소 가스 공급 관(58)의 MFC, APC 밸브(42)나 TMP(41) 의 제어 파라메터를 결정한다.
또, 웨이퍼(W)에 PHT 처리를 실시하는 때는, PHT 처리의 요리처리법에 대응하는 프로그램에 따라 EC(89)의 CPU가, 원하는 엔드 디바이스에 제어 신호를 송신 함으로써 제3의 프로세스 유닛(36)에 있어서 PHT 처리를 실행한다.
구체적으로는, CPU가, 질소 가스 공급 관(65)의 MFC 및 APC밸브(69)에 제어 신호를 송신하는 것에 따라 챔버(50) 내의 압력을 소망의 값으로 조정하고, 스테이지 히터(51)에 제어 신호를 송신함으로써 웨이퍼(W)의 온도를 원하는 온도로 조정한다. 또한, 이 때, 압력 게이지(66)거 챔버(50)내의 압력값을 출력 신호로서 EC(89)의 CPU에 송신하고, 해당 CPU는 송신된 챔버(50)내의 압력값에 근거하여, APC 밸브(69)나 질소 가스 공급 관(65)의 MFC의 제어 파라메터를 결정한다.
도 5의 시스템 컨트롤러에서는, 복수의 엔드 디바이스가 EC(89)에 직접 접속되는 일 없이, 해당 복수의 엔드 디바이스에 접속된 I/O부(100)가 모듈화되어서 I/O 모듈을 구성하고, 해당 I/0모듈이 MC 및 스위칭 허브(93)를 거쳐서 EC(89)에 접속되기 때문에, 통신 계통을 간소화할 수 있다.
또, EC(89)의 CPU가 송신하는 제어 신호에는, 원하는 엔드 디바이스에 접속된 I/O부(100)의 어드레스, 미쳐 해당 I/O부(100)를 포함하는 I/0 모듈의 어드레스가 포함되어 있기 때문에, 스위칭 허브(93)는 제어 신호에 있어서의 I/O 모듈의 어드레스를 참조하고, MC 의 GHOST가 제어 신호에 있어서의 I/O부(100)의 어드레스를 참조함으로써, 스위칭 허브(93)나 MC이 CPU에 제어 신호의 송신처의 문의를 하는 필요를 없앨 수 있고, 이로써, 제어 신호의 원활한 전달을 실현할 수 있다.
그런데, 앞서 말한 것처럼, CMP에 의해 노출한 절연막의 표면상에는 절삭 잔부 등이 발생하고, 특히, 절연막으로서 탄소를 포함하는 저 유전율 층간 절연막을 채용했을 경우, 해당 저유전율 층간 절연막의 표면에는 SiO2를 닮은 특성을 갖는 표면 손상층(이하,「유사 SiO2 층」이라 함)이 형성된다. 여기에서, 저유전율 층간 절연막이 CMP에 의해 압괴하지 않기 위해서는 소정의 기계적 강도를 가질 필요가 있고, 구체적으로는 4GPa 이상의 영률을 가질 필요가 있다. 이들 절연막의 표면상의 유사 SiO2 층 및 절삭 잔부 등은, 웨이퍼(W)로부터 제조되는 전자 디바이스에 있어서 각종 불량을 야기하는 요인이 되기 때문에 제거할 필요가 있다. 또, 이 유사SiO2 층은「변질층」이나「희생층」으로도 지칭된다.
본 실시형태에 따른 기판의 처리 방법은, 이것에 대응하여, CMP에 의해 표면상의 절삭 잔부 등이 발생하고, 또는 유사 SiO2 층이 형성된 절연막을 구비하는 웨이퍼(W)에 COR 처리와 PHT 처리를 실시한다.
COR 처리는, 피처리체의 산화막과 가스 분자를 화학 반응시켜서 생성물을 생성하는 처리이며, PHT 처리는, COR 처리가 실시된 피처리체를 가열하고, COR 처리의 화학반응에 의해 피 처리체에 생성한 생성물을 기화·열산화(Thermal Oxidation)시켜 피처리체로부터 제거하는 처리이다. 이상과 같이, COR 처리 및 PHT 처리, 특히, COR 처리는, 플라즈마를 쓰지 않고 또한 물 성분을 쓰지 않고 피처리체의 산화막을 제거하는 처리이기 때문에, 플라즈마리스 에칭 처리 및 드라이 클리이닝 처리(건조 세정 처리)에 해당한다.
본 실시형태에 따른 기판의 처리 방법에서는, 가스로서 암모니아 가스 및 불화 수소 가스를 사용한다. 여기서, 불화수소 가스는 SiO2 층이나 유사 SiO2 층의 부식을 촉진하고, 암모니아 가스는, 산화막과 불화수소 가스와의 반응을 필요에 따라서 제한하고, 최종적으로는 정지시키기 위한 반응 부 생성물(By-product)을 합성한다. 구체적으로는, COR 처리 및 PHT 처리에 있어서 이하의 화학반응을 이용함으로써, SiO2로 이루어지는 절연막의 상층을 제거해서 절연막의 표면상의 절삭 잔부 등을 제거하고, 또는 저 유전율 층간 절연막의 표면에 형성된 유사 SiO2 층을 제거한다.
(COR 처리)
SiO2 + 4HF → SiF4 + 2H2O↑
SiF4 + 2NH3 + 2HF → (NH4)2SiF6
(PHT 처리)
(NH4)2SiF6 SiF4 + 2NH3 + 2HF↑
상술한 화학반응을 이용한 COR 처리 및 PHT 처리는, 이하의 특성을 갖는 것이 본 발명자에 의해 확인되어 있다. 또한, PHT 처리에 있어서는, N2 및 H2도 약간량 발생한다.
1) 열산화막의 선택비(제거 속도)가 높다.
구체적으로는, COR 처리 및 PHT 처리는, 열산화막의 선택비가 높은 한편, 실리콘의 선택비가 낮다. 따라서, 열 산화막인 SiO2 막으로 이루어지는 절연막의 상층이나 SiO2 막으로 같은 특성을 갖는 유사 SiO2 층을 효율적으로 제거할 수 있다.
2) 상층이나 유사 SiO2 층이 제거된 절연막의 표면에 있어서의 자연 산화막의 성장 속도가 느리다.
구체적으로는, 습식 에칭에 의해 상층이 제거된 절연막의 표면에 있어서는, 두께 3Å의 자연 산화막의 성장 시간이 10분인 것에 대해, COR 처리 및 PHT 처리에 의해 상층이 제거된 절연막의 표면에 있어서는, 두께 3Å의 자연산화막의 성장 시간은 2시간 이상이다. 따라서, 전자 디바이스의 제조공정에 있어서 불필요한 산화막이 발생하는 일이 없고, 전자 디바이스의 신뢰성을 향상할 수 있다.
3) 드라이 환경에 있어서 반응이 진행한다.
구체적으로는, COR 처리에 있어서 물을 반응에 사용하는 일이 없고, 또한, COR 처리에 의해 발생한 물도 PHT 처리에 의해 기화되기 때문에, 상층이 제거된 절연막의 표면에 OH기가 배치되는 일이 없다. 따라서, 절연막의 표면이 친수성이 되는 일이 없고, 게다가 해당 표면은 흡습하는 일이 없기 때문에, 전자 디바이스의 배선 신뢰성의 저하를 방지할 수 있다.
4) 생성물의 생성량은 소정시간이 경과하면 포화한다.
구체적으로는, 소정 시간이 경과하면, 그 이후, 절연층을 암모니아 가스 및 불화 수소 가스의 혼합 기체에 계속해서 폭로해도, 생성물의 생성량은 증가하지 않 는다. 또한, 생성물의 생성량은, 혼합 기체의 압력, 부피 유량비 등의 혼합 기체의 파라메타에 의해 결정된다. 따라서, 절연막의 제거량의 제어를 용이하게 실행할 수 있다.
5) 파티클의 발생이 매우 적다.
구체적으로는, 제2의 프로세스 유닛(34) 및 제3의 프로세스 유닛(36)에 있어서, 2000장의 웨이퍼(W)에 있어서의 절연막의 상층의 제거를 실행해도, 챔버(38)나 챔버(50)의 내벽 등에 파티클의 부착이 거의 관측되지 않는다. 따라서, 전자 디바이스에 있어서 파티클을 거친 배선의 단락 등이 발생하는 일이 없이, 전자 디바이스의 신뢰성을 향상할 수 있다.
도 6은, 본 실시형태에 따른 기판의 처리 방법을 도시하는 공정도이다.
도 6에 있어서, 우선, CMP에 의한 절삭 잔부(101)(도 6(a)), 반응 생성물(102)(도 6(b))나 잔사(도시하지 않음)를 표면상에 갖는다, SiO2에 의해 형성된 절연막(104) 또는 유사 SiO2 층(103)이 표면에 형성된, SiOCH로 이루어지는 절연막(104a)(도 6(c))을 구비하는 웨이퍼(W)를 제2의 프로세스 유닛(34)의 챔버(38)에 수용하고, 이 챔버(38) 내의 압력을 소정의 압력으로 조정하고, 챔버(38) 내에 암모니아 가스, 불화 수소 가스 및 희석 가스로서의 아르곤(Ar)가스를 도입하여, 챔버(38)내를 이들로 이루어지는 혼합 기체의 분위기로 하고, 절연막(104, 104a)을 소정의 압력하에 있어서 혼합 기체의 분위기에 폭로한다(절연막 폭로 단계)(도6(a),(b),(c)). 이로써, 절연막(104)을 형성하는 SiO2 또는 유사 SiO2 층(103), 암 모니아 가스 및 불화수소 가스로부터 착체 구조를 갖는 생성물을 생성해서 절연막(104)의 상층 또는 유사 SiO2 층(103)을 생성물로 이루어지는 생성물 층(105)으로 변질되게 한다.
다음에, 생성물 층(105)이 형성된 웨이퍼(W)를 제3의 프로세스 유닛(36)의 챔버(50)내의 스테이지 히터(51)상에 탑재하고, 해당 챔버(50)내의 압력을 소정의 압력으로 조정하고, 챔버(50)내에 질소 가스를 도입해서 점성류를 생기게 하고, 스테이지 히터(51)에 의해 웨이퍼(W)를 소정의 온도로 가열한다(절연막 가열 단계). 이 때, 열에 의해 생성물 층(105)의 착체 구조가 분해하고, 생성물(105)은 4 불화규소(SiF4), 암모니아, 질소, 불화 수소로 분리해서 기화한다. 기화한 이들의 분자는 점성류에 말려들어서 제3의 프로세스 유닛 배기계(67)에 의해 챔버(50)로부터 배출된다. 이로써, 절연막(104)의 상층이 제거되어서 절연막(104)의 표면상의 절삭 잔부(101), 반응 생성물(102) 및 잔사가 제거되거나, 또는 유사 SiO2 층(103)이 제거된다(도 6(d)).
제2의 프로세스 유닛(34)에 있어서, 불화수소 가스는 수분과 반응하기 쉽기 때문에, 챔버(38)에 있어서의 암모니아 가스의 부피를 불화 수소 가스의 부피 보다 많게 설정하는 것이 바람직하고, 또한, 챔버(38)에 있어서의 물 분자는 될 수 있는 한 제거하는 것이 바람직하다. 구체적으로는, 챔버(38)내의 혼합 기체에 있어서의 암모니아 가스에 대하는 불화수소 가스의 부피유량(SCCM)비는 1∼1/2인 것이 바람직하고, 또한, 챔버(38)내의 소정의 압력은 6·7×10-22∼4.0Pa(0.5∼30mTorr)인 것 이 바람직하다. 이로써, 챔버(38)내의 혼합 기체의 유량비 등이 안정하기 때문에서, 생성물의 생성을 조장할 수 있다.
또, 챔버(38)내의 소정의 압력이 6.7×10-2∼4.0Pa(0.5∼30mTorr)이면, 생성물의 생성량을 소정시간 경과 후에 확실히 포화시킬 수 있고, 이로써, 에칭 깊이를 확실히 제어 할 수 있다(셀프 리밋티드). 예를 들면, 챔버(38)내의 소정의 압력이 1.3Pa(10mTorr)일 경우, 에칭의 진행은 COR 처리 개시로부터 약 3분경과 후에 정지한다. 이 때의 에칭 깊이는 대략 15nm이다. 또한, 챔버(38)내의 소정의 압력이 2.7Pa(20mTorr)일 경우, 에칭의 진행은 COR 처리 개시로부터 약 3분경과 후에 정지한다. 이 때의 에칭 깊이는 대략 24nm이다.
또, 반응물은 상온 근방에서 반응이 촉진되기 때문에, 웨이퍼(W)를 탑재하는 ESC(39)은, 내장하는 조온 기구(도시하지 않음)에 의해 그 온도가 25℃로 설정되는 것이 바람직하다. 또한, 온도가 높은 정도 챔버(38)내에 발생한 부 생성물이 부착되기 어렵기 때문에, 챔버(38) 내의 내벽온도는, 측벽에 매설된 히터(도시하지 않음)에 의해 50℃로 설정되는 것이 바람직하다.
제3의 프로세스 유닛(36)에 있어서, 반응물은 배위결합을 포함하는 착화합물(Complex compound)이며, 착화합물은 결합력이 약하고, 비교적 저온에 있어서도 열분해가 촉진되므로, 웨이퍼(W)의 소정의 온도는 80∼200℃인 것이 바람직하고, 또한, 웨이퍼(W)에 PHT 처리를 실시하는 시간은, 60∼180초인 것이 바람직하다. 또한, 챔버(50)에 점성류를 생기게 하기 위해서는, 챔버(50)내의 진공도를 높이는 것 은 바람직하지 못하고, 또한, 일정한 유량의 가스류가 필요하다. 따라서, 해당 챔버(50)에 있어서의 소정의 압력은, 6.7x10∼1.3×102Pa(500mTorr∼1Torr)인 것이 바람직하고, 질소 가스의 유량은 500∼3000SCCM인 것이 바람직하다. 이로써, 챔버(128) 내에 있어서 점성류를 확실히 생기게할 수 있기 때문에, 생성물의 열분해에 의해서 생긴 기체분자를 확실히 제거 할 수 있다.
또, 웨이퍼(W)에 COR 처리를 실시하기 전에, 절연막(104, 104a)의 표면형상, 예컨대, 막 두께 또는 배선 홈이나 게이트 전극등의 형상의 CD치를 측정하고, 측정된 표면형상에 따라, EC(89)의 CPU가, 절연막의 표면형상과 절연막의 상층의 제거량이나 유사 SiO2층의 제거량에 관련되는 처리 조건 파라메타와의 소정의 관계에 근거하여, COR 처리 또는PHT 처리에 있어서의 처리 조건 파라메타의 값을 결정하는 (생성물 생성 조건결정 단계)것이 바람직하다. 이로써, 절연막(104)의 상층의 제거량의 제어, 혹은 절연막(104)의 표면상의 절삭 잔부(101), 반응 생성물(102) 및 잔사의 제거량의 제어, 또는 유사 SiO2 층(103)의 제거량의 제어를 정확하게 실행할 수 있고, 또한 기판의 표면처리의 효율을 향상할 수 있다. 또한, 절연막(104)을 제거함으로써, CMP에 의해 발생한 국소적인 절연막(104)의 침식을 해소할 때, 절연막(104)의 제거량의 제어를 정확하게 실행할 수 있고, 또한 절연막(104)의 재평탄화를 정확하게 실행할 수 있다.
상기 소정의 관계는, 복수의 웨이퍼(W)를 처리하는 로트의 초기에 있어서, 제1의 IMS(17)에 의해 측정된 COR 처리 및 PHT 처리를 실시하기 전 및 실시한 후에 있어서의 절연막(104, 104a)의 표면형상의 차이, 즉, COR 처리 및 PHT 처리에 의한 절연막(104)의 상층의 제거량 또는 유사 SiO2 층(103)의 제거량과, 이 때의 COR 처리 및 PHT 처리에 있어서의 처리 조건 파라메타에 근거해서 설정된다. 처리 조건 파라메타로서는, 예컨대, 암모니아 가스에 대한 불화 수소 가스의 부피 유량비나 챔버(38)내의 소정의 압력, 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등이 해당한다. 이렇게 하여 설정된 소정의 관계는 EC(89)의 HDD 등에 격납되어, 로트의 초기 이후에 있어서의 웨이퍼(W)의 처리에 있어서 상술한 바와 같이 해서 참조된다.
또, 어떤 웨이퍼(W)의 COR 처리 및 PHT 처리를 실시하기 전 및 실시한 후에 있어서의 절연막(104, 104a)의 표면형상의 차에 근거하여, 해당 웨이퍼(W)에 두 번째 COR 처리 및 PHT 처리를 실시할 것인가 아닌가를 결정해도 무방하고, 또한, 두 번째 COR 처리 및 PHT 처리를 실시할 경우에는, EC(89)의 CPU가, 해당 웨이퍼(W)의 COR 처리 및 PHT 처리를 실시한 후에 있어서의 절연막(104, 104a)의 표면 형상에 따라, 상기 소정의 관계에 근거해서 COR 처리 및 PHT 처리의 조건 파라메타를 결정해도 좋다. 이로써, 절연막(104, 104a)의 제거량의 제어를 정확하게 실행할 수 있고, 또한 절연막(104, 104a)의 재평탄화를 정확하게 실행할 수 있다.
본 실시형태에 따른 기판의 처리 방법 에 의하면, 절삭 잔부(101), 반응 생성물(102) 및 잔사를 표면상에 갖는 절연막(104) 또는 유사 SiO2 층(103)이 표면에 형성된 절연막(104a)을 구비하는 웨이퍼(W)가 소정의 압력하에 있어서 암모니아 가 스, 불화수소 가스 및 아르곤 가스로 이루어지는 혼합 기체의 분위기에 폭로되어, 해당 혼합 기체의 분위기에 폭로된 웨이퍼(W)가 소정의 온도로 가열된다. 이로써, 절연막(104)을 형성하는 SiO2 또는 유사 SiO2 층(103), 암모니아 가스 및 불화수소 가스로부터 착체 구조를 갖는 생성물이 생성되어, 해당 생성된 생성물의 착체 구조가 열에 의해 분해하고, 생성물은 4불화규소, 암모니아, 불화 수소로 분리해서 기화한다. 이 생성물의 기화에 의해, 절연막(104)의 상층을 제거해서 절연막(104)의 표면상의 절삭 잔부(101), 반응 생성물(102) 및 잔사를 제거하고, 또는 유사 SiO2 층(103)을 제거할 수 있다. 이 때, 생성물의 생성량은 소정시간이 경과하면 포화하고, 또한, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어할 수 있다. 따라서, 절연막(104)의 표면상의 절삭 잔부(101), 반응 생성물(102) 및 잔사의 제거량의 제어 또는 유사 SiO2 층(103)의 제거량의 제어를 용이하게 실행할 수 있다.
삭제
또, 본 실시형태에 따른 기판의 처리 방법에 의하면, 웨이퍼(W)에 플라즈마리스 에칭 처리가 실시되어 절삭 잔부(101), 반응 생성물(102), 잔사 및 유사 SiO2 층(103)이 제거되므로, 웨이퍼(W)로부터 제조되는 전자 디바이스에 있어서, 게이트 전극에 전하가 축적되지 않기 때문에, 게이트 산화막의 열화나 파괴를 방지할 수 있고, 에너지 입자가 전자 디바이스에 조사되지 않기 때문에, 반도체에 있어서의 결정 결함의 발생을 방지할 수 있고, 또한 플라즈마에 기인하는 예기치 않은 화학 반응이 일어나지 않기 때문에, 불순물의 발생을 방지할 수 있고, 이로써 챔버(38)나 챔버(50) 내부가 오염되는 것을 방지할 수 있다.
또한, 본 실시형태에 관한 기판의 처리방법에 의하면, 웨이퍼(W)에 드라이클리닝 처리가 실시되어 절삭잔부(101), 반응 생성물(102), 잔사 및 유사 SiO2 층(103)이 제거되므로, 웨이퍼(W)의 표면 러프니스의 발생을 방지할 수 있을 뿐 아니라, 웨이퍼(W)의 표면의 물성의 변화도 억제할 수 있고, 또한, 웨이퍼(W)로부터 제조되는 전자 디바이스에 있어서의 배선 신뢰성의 저하를 확실히 방지할 수 있다.
다음에, 본 발명의 실시형태에 따른 화학 기계연마 후 세정 방법에 대해서 설명한다.
본 실시형태에 따른 화학 기계연마 후 세정 방법에 있어서도, 상술한 COR 처리 및 PHT 처리를 이용하여, 절연막의 표면상의 유사 SiO2 층 및 절삭 잔부 등을 제거한다. 또한, COR 처리 및 PHT 처리는 기판 처리장치(10)에 있어서의 제2의 프로세스 쉽(12)에 있어서 실행된다.
도 7은, 본 발명의 실시형태에 따른 화학 기계연마 후 세정 방법을 도시하는 공정도이다. 도 7에 있어서, 우선, 웨이퍼(W)의 표면에 있어서, 열산화에 의해 성막된 SiO22로 이루어지는 절연막(106)에 RIE 처리 등에 의해 배선 홈(107)을 형성하고, 절연막(106)상에 도전성 재료인 폴리 실리콘을 PVD법이나 CVD(Chemical Vapor Deposition)법에 의해 퇴적시켜서 도전 막(108)을 형성한다(도 7(a)).
다음에, 해당 도전 막(108)을 CMP에 의해 연마해서 절연막(106)을 노출시켜, 이로써, 배선(109)을 형성한다. 이 때, 노출한 절연막(106)의 표면상에는 CMP에 의한 절삭 잔부(110), 반응 생성물(111) 및 잔사(도시하지 않음)이 형성된다(도 7(b)).
다음에, 절삭 잔부(110), 반응 생성물(111) 및 잔사를 표면상에 갖는 절연막(106)을 구비하는 웨이퍼(W)를 건조로(도시하지 않음)에 반입해서 절연막(106)의 표면을 건조하고, 해당 표면이 건조된 절연막(106)을 갖는 웨이퍼(W)를 제2의 프로세스 유닛(34)의 챔버(38)에 수용하고, 해당 챔버(38)내의 압력을 소정의 압력으로 조정하고, 챔버(38)내에 암모니아 가스, 불화수소 가스 및 아르곤 가스를 도입하고, 챔버(38)내를 이들로부터 이루어지는 혼합 기체의 분위로 하여 절연막(106)을 소정의 압력하에 있어서 혼합 기체의 분위기에 폭로한다(절연막 폭로 단계). 이로써, 절연막(106)을 형성하는 SiO2, 암모니아 가스 및 불화 수소 가스로부터 착체 구조를 갖는 생성물을 생성해서 절연막(106)의 상층을 생성물로 이루어지는 생성물 층(112)으로 변질시킨다(도 7(c)).
다음에, 생성물 층(112)이 형성된 웨이퍼(W)를 제3의 프로세스 유닛(36)의 챔버(50)내의 스테이지 히터(51)상에 탑재하고, 해당 챔버(50)내의 압력을 소정의 압력으로 조정하고, 챔버(50)내에 질소 가스를 도입해서 점성류를 생기게 하고, 스테이지 히터(51)에 의해 웨이퍼(W)를 소정의 온도로 가열한다(절연막 가열 단계). 이 때, 열에 의해 생성물 층(112)의 생성물의 착체 구조가 분해하고, 생성물은 4불화규소(SiF4), 암모니아, 질소, 불화수소에 분리해서 기화한다(도 7(d)). 기화한 이들의 분자는 점성류에 휘감겨 제3의 프로세스 유닛 배기계(67)에 의해서 챔버(50)로부터 배출된다. 이로써, 절연막(106)의 상층이 제거되어, 절연막(106)의 상층과 함께 절연막(106)의 표면상의 절삭 잔부(110), 반응 생성물(111) 및 잔사가 제거된다(도 7(e)).
본 실시형태에 따른 화학 기계연마 후 세정 방법에 의하면, CMP에 의한 절삭 잔부(110), 반응 생성물(111) 및 잔박을 표면상에 갖는 절연막(106)을 구비하는 웨이퍼(W)가 소정의 압력하에 있어서 암모니아 가스, 불화수소 가스 및 아르곤 가스 로 이루어지는 혼합 기체의 분위기에 폭로되어, 해당 혼합 기체의 분위기에 폭로된 웨이퍼(W)가 소정의 온도로 가열된다. 이로써, 절연막(106)을 형성하는 SiO2, 암모니아 가스 및 불화 수소 가스로부터 착체 구조를 갖는 생성물이 생성되고, 해당 생성된 생성물의 착체 구조가 열에 의해 분해하고, 생성물은 4불화규소, 암모니아, 불화수소에 분리해서 기화한다. 이 생성물의 기화에 의해, 절연막(106)의 상층을 제거해서 절연막(106)의 표면상의 절삭 잔부(110), 반응 생성물(111) 및 잔사를 제거 할 수 있다. 이 때, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어할 수 있다. 따라서, 절연막(106)의 표면상의 절삭 잔부(110), 반응 생성물(111) 및 잔사의 제거량의 제어를 용이하게 실행할 수 있다.
또, 본 실시형태에 따른 화학 기계연마 후 세정 방법에 의하면, 노출한 절연막(106)이 상기 혼합 기체의 분위기에 폭로되기 전에, 노출한 절연막(106)의 표면이 건조된다. 상기 생성물의 생성은 건조 환경하에 있어서 촉진된다. 따라서, 절삭 잔부(110), 반응 생성물(111) 및 잔사의 제거를 촉진 할 수 있다.
상술한 본 실시형태에 따른 화학 기계연마 후 세정 방법에서는, 절연막의 표면상의 절삭 잔부 등을 제거했지만, 절연막으로서 SiOCH로 이루어지는 저 유전율 층간 절연막이 사용되는 경우, CMP에 의해 저유전율 층간 절연막의 표면에 형성된 유사 SiO2 층도, 해당 유사 SiO2 층을 상기 혼합 기체의 분위기에 폭로해서 생성물층으로 변질시켜, 더욱 생성물층을 열로 기화함으로써, 제거할 수 있다.
다음에, 본 발명의 실시형태에 따른 전자 디바이스의 제조 방법에 대해서 설명한다.
본 실시형태에 따른 전자 디바이스의 제조 방법에 있어서도, 상술한 COR 처리 및 PHT 처리를 이용하여, 저 유전율 층간 절연막의 표면상의 유사 SiO2 층 및 절삭 잔부 등을 제거한다. 또한, COR 처리 및 PHT 처리는 기판 처리장치(10)에 있어서의 제2의 프로세스 쉽(12)에 있어서 실행된다.
도 8은 본 발명의 실시형태에 따른 전자 디바이스의 제조 방법을 도시하는 공정도이다. 도 8에 있어서, 우선, 웨이퍼(W)의 표면에 있어서, 열산화에 의해 성 막된 SiO2로 이루어지는 절연막(113)(제1의 절연막)에 RIE 등에 의해 배선 홈을 형성하고, 절연막(113)상에 알루미늄(Al)또는 알루미늄 합금(제1의 도전성 재료)으로 이루어지는 도전 막(도시하지 않음)을 성막한다. 또한, 에치백 등의 평탄화 처리에 의해 성막된 도전 막을 연마해서 절연막(113)을 노출시켜, 이로써 절연막(113)에 배선(114)을 형성한다(배선 형성 단계)(도 8(a)).
다음에, CVD 법에 의해 절연막(113)상에, 배선(114)을 덮도록 SiOCH로 이루어지는 저 유전율 층간 절연막(115)(제2의 절연막)을 성막하고(제2의 절연막 성막 단계), 또 리소그래피에 의해 배선(114) 바로 위에 대응하는 저 유전율 층간 절연막(115)의 일부를 폭로하는 개구부(124)를 갖는 패턴의 포토레지스트 층(125)을 형성한다(포토레지스트 층 형성 단계)(도 8(b)).
다음에, 형성된 포토레지스트층(125)을 마스크로서 사용하여, 저 유전율 층간 절연막(115)을 RIE 처리에 의해 에칭하고, 저 유전율 층간 절연막(115)에 있어서 배선(114)에 달하는 비어(via) 홀(접속 구멍)(118)을 가공 형성한다(플라즈마 가공 성형 단계)(도 8(c)). 이 때, 비어 홀(118)의 표면은 RIE 처리에 기인해서 탄소 농도가 저하한 데미지 층(119)(표면 손상층)에 의해 피복된다.
그 후, 일단, 웨이퍼(W)를 제2의 프로세스 유닛(34)에 있어서의 챔버(38)에 수용하고, 비어 홀(109)의 표면을 소정의 압력하에 있어서 암모니아 가스, 불화 수소 가스 및 아르곤 가스로부터 이루어지는 혼합 기체의 분위기에 폭로하고(접속 구멍표면 폭로 단계), 또한, 혼합 기체의 분위기에 폭로된 웨이퍼(W)를 제3의 프로세 스 유닛(36)의 챔버(50)내의 스테이지 히터(51)상에 탑재하여 비어 홀(109)의 표면을 소정의 온도로 가열한다(접속 구멍표면 가열 단계). 이로써, 데미지 층(119)을 생성물 층으로 변질시켜, 해당 생성물 층을 열에 의해 기화해서 비어 홀(109)의 표면을 덮는 데미지 층(119)을 제거한다. 그리고, 웨이퍼(W)를 제3의 프로세스 유닛(36)으로부터 꺼내고, 애싱 처리 등에 의해 포토 레지스트층(125)을 제거한다(애싱 단계)(도 8(d)).
다음에, 데미지 층(119)이 제거된 비어 홀(118)의 표면도 포함시켜서 저 유전율 층간 절연막(115)의 표면을 질화 규소(SiN)또는 탄화규소(SiC)로 이루어지는 도전성 배리어 막(120)으로 피막하고(접속 구멍 피막 단계)(도 8(e)), 또한, 도전성 배리어 막(120)으로 피막된 저유전율 층간 절연막(115)상에, CVD 법이나 PVD 법에 의해 동(Cu)(제2의 도전성 재료)을 퇴적시켜서 동으로 이루어지는 도전 막(121)을 성막하는 동시에, 비어 홀(118)에 동을 충전한다(접속 구멍 충전 단계)(도 8(f)).
다음에, 도전 막(121) 및 도전성 배리어 막(120)을 CMP에 의해 연마해서 저 유전율 층간 절연막(115)을 노출시켜(도전 막 연마 단계), 이로써, 비어 휠(122)을 형성한다. 이 때, 노출한 저 유전율 층간 절연막(115)의 표면에 CMP에 기인하는 유사 SiO2 층(124)이 형성되어, 해당 유사 SiO2 층(124)상에는 CMP에 의한 절삭 잔부(116), 반응 생성물(117) 및 잔사(도시하지 않음)가 형성된다(도 8(g)).
다음에, 절삭 잔부(116), 반응 생성물(117), 잔사 및 유사 SiO2 층(124)을 표면상에 갖는 저 유전율 층간 절연막(115)을 갖는 웨이퍼(W)를 제2의 프로세스 유닛(34)의 챔버(38)에 수용하고, 해당 챔버(38)내의 압력을 소정의 압력으로 조정하고, 챔버(38) 내에 암모니아 가스, 불화수소 가스 및 아르곤 가스를 도입하고, 챔버(38)내를 이들로부터 이루어지는 혼합 기체의 분위기로 해서 저 유전율 층간 절연막(115)을 소정의 압력하에 있어서 혼합 기체의 분위기에 폭로한다(제2의 절연막 폭로 단계). 이로써, 유사 SiO2 층, 암모니아 가스 및 불화 수소 가스로부터 착체 구조를 갖는 생성물을 생성해서 유사 SiO2 층(124)을 생성물로 이루어지는 생성물 층(123)으로 변질시킨다(도 8(h)).
다음에, 생성물 층(123)이 형성된 웨이퍼(W)를 제3의 프로세스 유닛(36)의 챔버(50) 내의 스테이지 히터(51)상에 탑재하고, 해당 챔버(50)내의 압력을 소정의 압력으로 조정하고, 챔버(50)내에 질소 가스를 도입해서 점성류를 생기게 하고, 스테이지 히터(51)에 의해 웨이퍼(W)를 소정의 온도로 가열한다(절연막 가열 단계). 이 때, 열에 의해 생성물 층(123)의 생성물의 착체 구조가 분해하고, 생성물은 4불화규소, 암모니아, 불화수소로 분리해서 기화한다(도 8(i)). 기화한 이들의 분자는 점성류에 말려들어서 제3의 프로세스 유닛 배기계(67)에 의해 챔버(50)로부터 배출된다. 이로써, 유사 SiO2 층(124)이 제거되어, 더욱 유사 SiO2 층(124)상의 절삭 잔부(116), 반응 생성물(117) 및 잔사가 제거된다(도 8(j)).
본 실시형태에 따른 전자 디바이스의 제조 방법에 의하면, CMP에 의한 절삭 잔부(116), 반응 생성물(117), 잔사 및 유사 SiO2 층(124)을 표면상에 갖는 저 유전 율 층간 절연막(115)을 구비하는 웨이퍼(W)가 소정의 압력하에 있어서암모니아 가스, 불화 수소 가스 및 아르곤 가스로 이루어지는 혼합 기체의 분위기에 노출되고, 당해 혼합 기체의 분위기에 폭로된 웨이퍼(W)가 소정의 온도로 가열된다. 이로써, 유사 SiO2 층, 암모니아 가스 및 불화 수소 가스로부터 착체 구조를 갖는 생성물이 생성되고, 해당 생성된 생성물의 착체 구조가 열에 의해 분해하고, 생성물은 4불화규소, 암모니아, 불화수소로 분리해서 기화한다. 이 생성물의 기화에 의해, 유사 SiO2 층(124)을 제거하고, 더욱 유사 SiO2 층(124)상의 절삭 잔부(116), 반응 생성물(117) 및 잔사를 제거할 수 있다. 이 때, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어할 수 있다. 따라서, 유사 SiO2 층(124)의 제거량의 제어 및 유사 SiO2 층(124)상의 절삭 잔부(116), 반응 생성물(117) 및 잔사의 제거량의 제어를 용이하게 실행할 수 있다.
또, 본 실시형태에 따른 전자 디바이스의 제조 방법에 의하면, 저 유전율 층간 절연막(115)에 있어서 가공 성형된 비어 홀(118)의 표면이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되므로, 비어 홀(118)의 표면에 있어서의 생성물의 생성 및 해당 생성물의 가열에 의한 기화에 의해, RIE 처리에 기인해서 발생하는 비어 홀(118)의 데미지 층(119)을 제거할 수 있고, 데미지 층(119)에 기인하는 배선 지연의 발생을 방지할 수 있다.
또한, 본 실시형태에 따른 전자 디바이스의 제조 방법에 의하면, 소정의 온도로 가열되어서 데미지 층(119)이 제거된 비어 홀(118)의 표면이 도전성 배리어 막(120)으로 피막되므로, 비어 홀(118)의 표면과, 해당 비어 홀(118)에 충전되는 동의 접촉을 방지할 수 있고, 이로써, 동의 저 유전율 층간 절연막(115)으로의 확산을 방지할 수 있다.
또, 상술한 도 8의 전자 디바이스의 제조 방법에서는, 비어 홀(118)로의 동의 충전에 앞서 포토 레지스트 층(125)이 제거되었지만, 해당 포토레지스트 층(125)은 비어 홀(118)로의 동의 충전 후에 제거되어도 무방하고, 예컨대, CMP에 의해 도전 막(121) 및 도전성 배리어 막(120)을 연마할 때에, 해당 CMP에 의해 동시에 연마되어도 무방하다. 이로써, 스루풋을 향상 할 수 있다.
상술한 본 실시형태에 따른 화학 기계연마 후 세정 방법 또는 전자 디바이스의 제조 방법에 있어서, 절연막의 상층이나 유사 SiO2 층을 제거하기 전에, 웨이퍼(W)를 제1의 IMS(17)에 반입하여, 절연막의 표면형상을 측정하고, 해당 측정된 표면 형상에 따라, EC(89)의 CPU가, 절연막의 표면형상과 절연막의 상층의 제거량이나 유사 SiO2 층의 제거량에 관련되는 처리 조건 파라메타와의 소정의 관계에 근거하여, 암모니아 가스에 대한 불화수소 가스의 부피 유량비나 챔버(38)내의 소정의 압력, 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등의 목표치를 결정하는 것이 바람직하다. 이로써, 절연막의 상층의 제거량의 제어, 나아가서는 절연막의 표면상의 절삭 잔부 등의 제거량의 제어, 또는 유사 SiO2 층의 제거량의 제어를 정확하게 실행할 수 있고, 또한 전자 디바이스의 제조 효율을 향상할 수 있다. 또한, 절연막을 제거함으로써, CMP에 의해 발생한 국소적인 절연막의 침식을 해소할 때, 절연막의 제거량의 제어를 정확하게 실행할 수 있고, 그래서 재 평탄화를 정확하게 실행할 수 있다.
또, 절연막의 상층 등의 제거전 및 제거 후에 있어서의 절연막의 표면형상의 차에 근거하여, 두 번째 절연막의 상층 등의 제거를 실행할 것인가 아닌가를 결정해도 무방하고, 또한, 두 번째 절연막의 상층 등의 제거를 실행할 경우에는, EC(89)의 CPU가, 절연 막의 상층 등의 제거 후에 있어서의 절연막의 표면 형상에 따라, 상기 소정의 관계에 기초하여 암모니아 가스에 대한 불화수소 가스의 부피 유량비 등을 결정해도 무방하고, 또는, 두번째의 CMP에 의한 연마를 결정해도 무방하다. 이로써, 두 번 째의 절연막의 상층 등의 제거량의 제어를 정확하게 실행할 수 있고, 또한 절연막의 상층 등의 재평탄화를 정확하게 실행할 수 있다.
상술한 본 실시형태에 관한 기판의 처리 방법이 적용되는 기판 처리장치는, 도 1에 도시된 바와 같이 서로 평행하게 배치된 프로세스 쉽을 2개 구비하는 병렬(parallel) 타입의 기판처리장치에 한정되지 않고, 도 9나 도 10에 도시하는 바와 같이, 웨이퍼(W)에 소정의 처리를 실시하는 진공 처리실로서의 복수의 프로세스 유닛이 방사상으로 배치된 기판 처리장치도 해당한다.
도 9는 본 실시형태에 따른 기판의 처리 방법이 적용되는 기판 처리장치의 제1의 변형예의 개략 구성을 도시하는 평면도이다. 또, 도 9에 있어서는, 도 1의 기판 처리장치(10)에 있어서의 구성요소와 같은 구성요소에는 같은 부호를 부여하, 그 설명을 생략한다.
도 9에 있어서, 기판 처리장치(137)는, 평면에서 보아 6각형의 전송 유닛 (138)과, 해당 전송 유닛(138)의 주위에 있어서 방사상으로 배치된 4개의 프로세스 유닛(139~142)과, 로더 유닛(13)과, 전송 유닛(138) 및 로더 유닛(13)의 사이에 배치되어, 전송 유닛(138) 및 로더 유닛(13)을 연결하는 2개의 로드·록 유닛(143, 144)을 구비한다.
전송 유닛(138) 및 각 프로세스 유닛(139~142)은 내부의 압력이 진공으로 유지되고, 전송 유닛(138)과 각 프로세스 유닛(139~142)은 각각 진공 게이트 밸브(145~148)를 거쳐서 접속된다.
기판 처리장치(137)에서는, 로더 유닛(13)의 내부 압력이 대기압에 유지되는 한편, 전송 유닛(138)의 내부압력은 진공으로 유지된다. 그 때문에, 각 로드·록 유닛(143, 144)은, 각각 전송 유닛(138)과의 연결부에 진공 게이트 밸브(149, 150)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 도어 밸브(151, 152)를 구비하는 것에 따라, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다. 또한, 각 로드 록 유닛(143, 144)은 로더 유닛(13) 및 전송 유닛(138)의 사이에 있어서 주고 받아지는 웨이퍼(W)를 일시적으로 탑재하기 위한 웨이퍼 탑재대(153, 154)를 갖는다.
전송 유닛(138)은 그 내부에 배치된 굴신 및 선회 가능하게 이루어진 프로그레그 타입의 반송 아암(155)을 갖고, 해당 반송 아암(155)은, 각 프로세스 유닛(139~142)이나 각 로드·록 유닛(143, 144)의 사이에 있어서 웨이퍼(W)를 반송한다.
각 프로세스 유닛(139~142)은, 각각 처리가 실시되는 웨이퍼(W)를 탑재하는 탑재대(156~159)를 갖는다. 여기에서, 프로세스 유닛(140)은 기판 처리장치(10)에 있어서의 제1의 프로세스 유닛(25)과 같은 구성을 갖고, 프로세스 유닛(141)은 제2의 프로세스 유닛(34)과 같은 구성을 갖고, 프로세스 유닛(142)은 제3의 프로세스 유닛(36)과 같은 구성을 갖는다. 따라서, 프로세스 유닛(140)은 웨이퍼(W)에 RIE 처리를 실시하고, 프로세스 유닛(141)은 웨이퍼(W)에 COR 처리를 실시하고, 프로세스 유닛(142)은 웨이퍼(W)에 PHT 처리를 실시할 수 있다.
기판 처리장치(137)에서는, 절삭 잔부 등 또는 유사 SiO2 층을 표면상에 갖는 절연막을 구비하는 웨이퍼(W)를, 프로세스 유닛(141)에 반입해서 COR 처리를 실시하고, 더욱 프로세스 유닛(142)에 반입해서 PHT 처리를 함으로써, 상술한 본 실시형태에 따른 기판의 처리 방법을 실행한다.
또, 기판 처리장치(137)에서는, 프로세스 유닛(139)이 웨이퍼(W)의 표면에 절연막 등을 성막하는 성막장치(CVD 장치)이며, 또한 프로세스 유닛(140)이 웨이퍼(W)에 CMP 처리를 실시하는 연마 장치이여도 무방하다. 이 경우, 반송 아암(155)이 프로세스 유닛(139~142)의 순으로 웨이퍼(W)를 반송함으로써, 해당 웨이퍼(W)로 성막 처리, CMP 처리, COR 처리 및 PHT 처를 연속적으로 실시할 수 있다. 이로써, 스루풋을 향상할 수 있다. 또한, 이 연속적인 처리의 사이에, 웨이퍼(W)는 로더 유닛(13)으로 반출되는 일이 없기 때문에, 웨이퍼(W)는 대기와 접촉하는 일이 없이, 절연막상에 산화 막이 발생하는 것을 방지할 수 있는 동시에, 웨이퍼(W)의 표면에의 파티클의 부착도 방지할 수 있기 때문에, 웨이퍼(W)로부터 제조되는 전자 디바이스의 배선 신뢰성을 향상할 수 있다.
또, 기판 처리장치(137)에 있어서의 각 구성요소의 동작은, 기판 처리장치(10)에 있어서의 시스템 컨트롤러와 같은 구성을 갖는 시스템 컨트롤러에 의해 제어된다.
도 10은, 본 실시형태에 따른 기판의 처리 방법이 적용되는 기판 처리장치의 제2의 변형예의 개략 구성을 도시하는 평면도이다. 또, 도10에 있어서는, 도1의 기판 처리장치(10) 및 도 9의 기판처리장치(137)에 있어서의 구성요소와 같은 구성요소에는 같은 부호를 붙이고, 그 설명을 생략한다.
도 10에 있어서, 기판 처리장치(160)는 도 9의 기판 처리장치(137)에 대하여, 2개의 프로세스 유닛(161, 162)이 추가되고, 이것에 대응하여, 전송 유닛(163)의 형상도 기판 처리장치(137)에 있어서의 전송 유닛(138)의 형상과 다르다. 추가된 2개의 프로세스 유닛(161, 162)은, 각각 진공 게이트 밸브(164, 165)를 거쳐서 전송 유닛(163)과 접속되는 동시에, 웨이퍼(W)의 탑재대(166, 167)를 갖는다.
또, 전송 유닛(163)은, 2개의 스카라 아암 타입의 반송 아암으로 이루어지는 반송 아암 유닛(168)을 구비한다. 해당 반송 아암 유닛(168)은, 전송 유닛(163)내에 배설된 가이드 레일(guide rail)(169)에 따라 이동하고, 각 프로세스 유닛(139~142, 161, 162)나 각 로드·록 유닛(143, 144)의 사이에 있어서 웨이퍼(W)를 반송한다.
기판 처리장치(160)에서는, 기판 처리장치(137)와 같이, 절삭 잔부 등 또는 유사 SiO2 층을 표면상에 갖는 절연막을 구비하는 웨이퍼(W)를, 프로세스 유닛(141)에 반입해서 COR 처리를 실시하고, 또 프로세스 유닛(142)에 반입해서 PHT 처리를 함으로써, 상술한 본 실시형태에 따른 기판의 처리 방법을 실행한다.
또, 기판 처리장치(160)에서도, 기판 처리장치(137)와 같이, 프로세스 유닛(139)[또는 프로세스 유닛(161)]이 웨이퍼(W)의 표면에 절연막 등을 성막하는 성막장치(CVD 장치)이며, 또한 프로세스 유닛(140)[혹은 프로세스 유닛(139)]이 웨이퍼(W)에 CMP 처리를 실시하는 연마 장치이어도 무방하다. 이 경우도, 스루풋을 향상 할 수 있고, 웨이퍼(W)로부터 제조되는 전자 디바이스의 배선 신뢰성을 향상할 수 있다.
기판 처리장치(160)에 있어서의 각 구성요소의 동작도, 기판 처리장치(10)에 있어서의 시스템 컨트롤러와 같은 구성을 갖는 시스템 컨트롤러에 의해 제어된다.
또, 상술한 전자 디바이스에는, 이른바 반도체 디바이스의 이외에, 강 위유전체, 고 유전체 등의 절연성 금속 산화물, 특히 페로브스카이트 형 결정 구조를 갖는 물질보다 되는 얇은 막을 갖는 비 휘발성 또는 대 용량의 메모리 소자도 포함한다. 페로브스카이트 형 결정 구조를 갖는 물질로서는, 티탄산 지르콘산연(PZT), 티탄 산 바륨 스트론튬(PST) 및 탄탈산 니오브스토론튬비스마스(SBT) 등이 해당한다.
본 발명의 목적은, 상술한 본 실시형태의 기능을 실현하는 소프트웨어의 프로그램 코드를 기록한 기억 매체를, EC(89)에 공급하고, EC(89)의 컴퓨터(또는 CPU 나 MPU 등)이 기억 매체에 격납된 프로그램 코드를 판독하여 실행하는 것에 의해서도 달성된다.
이 경우, 기억 매체로부터 판독된 프로그램 코드 자체가 상술한 본 실시형태의 기능을 실현하는 것으로 되고, 그 프로그램 코드 및 해당 프로그램 코드를 기억한 기억 매체는 본 발명을 구성하게 된다.
또, 프로그램 코드를 공급하기 위한 기억 매체로서는, 예컨대, 플로피(등록상표) 디스크, 하드 디스크, 광자기 디시크, CD-ROM, CD-R, CD-RW, DVD-ROM, DVD-RAM, DVD-RW, DVD+RW 등의 광디스크, 자기 테이프, 비휘발성의 메모리 카드, ROM 등을 이용할 수 있다. 또는, 프로그램 코드를 네트워크(network)를 거쳐서 다운로드 해도 무방하다.
또, 컴퓨터가 판독한 프로그램 코드를 실행함으로써, 상기 본 실시형태의 기능이 실현될 뿐만아니라, 그 프로그램 코드의 지시에 근거하여, 컴퓨터상에서 가동하고 있는 OS(오퍼레이팅 시스템(operating system))등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 상술한 본 실시형태의 기능이 실현될 경우도 포함된다.
흔히, 기억 매체로부터 판독된 프로그램 코드가, 컴퓨터에 삽입된 기능 확장 보드나 컴퓨터에 접속된 기능 확장 유닛에 갖춰지는 메모리에 기입된 후, 그 프로그램 코드의 지시에 근거하여, 그 확장 기능을 확장 보드나 확장 유닛에 갖춰지는 CPU 등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 전술한 본 실시형태의 기능이 실현되는 경우도 포함된다.
상기 프로그램 코드의 형태는, 오브젝트 코드(object code), 인터프리터(interpreter)에 의해 실행되는 프로그램 코드, OS에 공급되는 스크립트(script) 데이터 등의 형태로 이루어져도 무방하다.
청구항 1 기재의 기판의 처리 방법 및 청구항 17 기재의 프로그램에 의하면, 노출한 절연막이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되고, 해당 혼합 기체의 분위기에 폭로된 절연막이 소정의 온도로 가열된다. 노출한 절연막이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되면, 노출한 절연막 및 혼합 기체에 근거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 폭로된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 화학 기계연마에 의해 발생하는 절연막의 표면상의 표면 손상층 및 절삭 잔부 등을 제거 할 수 있다. 이 때, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어 할 수 있다. 따라서, 절연막의 표면상의 표면손상 층 및 절삭 잔부 등의 제거량의 제어를 용이하게 실행할 수 있다.
청구항 3 기재의 기판의 처리 방법에 의하면, 기판에 플라즈마리스 에칭 처리가 실시되기 때문에, 기판으로부터 제조되는 전자 디바이스에 있어서, 게이트 전극에 전하가 축적되지 않기 때문에, 게이트 산화 막의 열화나 파괴를 방지할 수 있고, 에너지 입자가 전자 디바이스에 조사되는 일이 없기 때문에, 반도체에 있어서 박아넣기 데메지(결정 결함)의 발생을 방지할 수 있고, 또한, 플라즈마에 기인하는 예기하지 않는 화학 반응이 일어나지 않기 때문에, 불순물의 발생을 방지할 수 있고, 이로써, 기판에 처리를 실시하는 처리 실이 오염되는 것을 방지 할 수 있다.
청구항 4 기재의 기판의 처리 방법에 의하면, 기판에 건조 세정 처리가 실시되므로, 표면 거칠기(roughness)의 발생을 방지할 수 있을 뿐 아니라, 기판 표면의 물성의 변화도 억제할 수 있고, 또한 배선 신뢰성의 저하를 확실히 방지할 수 있다.
청구항 5 기재의 기판의 처리 방법에 의하면, 혼합 기체에 있어서의 암모니아에 대한 불화수소의 체적 유량비는 1~1/2이고, 상기 소정의 압력은 6.7×10-2∼4.0pa이므로, 생성물의 생성을 조장할 수 있고, 게다가 절연막의 표면상의 표면손상층 및 절삭 잔부 등을 확실히 제거 할 수 있다.
청구항 6 기재의 기판의 처리 방법에 의하면, 소정의 온도는 80∼200℃이므로, 생성물의 기화를 촉진할 수 있고, 게다가 절연막의 표면상의 표면 손상층 및 절삭 잔부 등을 확실히 제거 할 수 있다.
청구항 7 기재의 기판의 표면처리 방법에 의하면, 노출한 절연 막의 형상이 측정되고, 해당 측정된 형상에 따라 혼합 기체에 있어서의 암모니아에 대한 불화수소의 부피 유량비 및 상기 소정의 압력의 적어도 하나가 결정되므로, 절연 막의 표면상의 표면 손상층 및 절삭 잔부 등의 제거량의 제어를 정확하게 실행할 수 있고, 게다가 기판의 표면 처리의 효율을 향상할 수 있고, 더욱이, 절연막을 제거함으로 써, 화학 기계연마에 의해 발생한 국소적인 침식을 해소할 때, 절연막의 제거량의 제어를 정확하게 실행할 수 있고, 가져서 재 평탄화를 정확하게 실행할 수 있다.
청구항 11 기재의 화학 기계연마 후 세정 방법 및 청구항 18 기재의 프로그램에 의하면, 화학기계 연마에 의해 노출한 절연막이 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로되어, 해당 혼합 기체의 분위기에 폭로된 절연막이 소정의 온도로 가열된다. 노출한 절연막이 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로되면, 노출한 절연막 및 혼합 기체에 근거한 생성물이 생성되어, 상기 혼합 기체의 분위기에 폭로된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 화학 기계연마에 의해 발생하는 절연막의 표면상의 표면손상 층 및 절삭 잔부 등을 제거 할 수 있다. 이 때, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어할 수 있다. 따라서, 절연막의 표면상의 표면손상층 및 절삭 잔부 등의 제거량의 제어를 용이하게 실행할 수 있다.
청구항 12 기재의 화학 기계연마 후 세정 방법에 의하면, 노출한 절연막이 상기 혼합 기체의 분위기에 폭로되기 전에, 노출한 절연막의 표면이 건조된다. 상기 생성물의 생성은 건조 환경하에 있어서 촉진된다. 따라서, 절연막의 표면상의 표면 손상층 및 절삭 잔부 등의 제거를 촉진할 수 있다.
청구항 13 기재의 전자 디바이스의 제조 방법 및 청구항 19 기재의 프로그램에 의하면, 화학 기계연마에 의해 노출한 제2의 절연막이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되어, 해당 혼합 기체 의 분위기에 폭로된 제2의 절연막이 소정의 온도로 가열된다. 노출한 제2의 절연막이 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로되면, 노출한 제2의 절연막 및 혼합 기체에 근거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 폭로된 제2의 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 화학 기계연마에 의해 발생하는 제2의 절연막의 표면상의 표면손상 층 및 절삭 잔부 등을 제거할 수 있다. 이 때, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어할 수 있다. 따라서, 제2의 절연막의 표면상의 표면손상 층 및 절삭 잔부 등의 제거량의 제어를 용이하게 실행할 수 있다.
청구항 14 기재의 전자 디바이스의 제조 방법에 의하면, 제2의 절연막에 있어서 가공 성형된 접속 구멍의 표면이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되므로, 접속 구멍의 표면에 있어서의 생성물의 생성 및 해당 생성물의 가열에 의한 기화에 의해, 플라즈마 처리에 기인해서 발생하는 접속 구멍의 표면손상 층을 제거할 수 있고, 해당 표면손상 층에 기인하는 배선 지연의 발생을 방지할 수 있다.
청구항 15에 기재된 전자 디바이스의 제조 방법에 의하면, 소정의 온도로 가열된 접속 구멍의 표면이 도전성 배리어로 피복되므로, 표면 손상층이 제거된 접속 구멍의 표면과, 해당 접속 구멍에 충전되는 제2의 도전성 재료와의 접촉을 방지할 수 있고, 이로써, 제2의 도전성 재료의 제2의 절연막으로의 확산을 방지할 수 있다.
청구항 16에 기재된 전자 디바이스의 제조 방법 및 청구항 20에 기재된 프로그램에 의하면, 화학 기계연마에 의해 노출한 제2의 절연막이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되어, 해당 혼합 기체의 분위기에 폭로된 제2의 절연막이 소정의 온도로 가열된다. 노출한 제2의 절연막이 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로되면, 노출한 제2의 절연막 및 혼합 기체에 근거한 생성물이 생성되고, 상기 혼합 기체의 분위기에 폭로된 제2의 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 화학 기계연마에 의해 발생하는 제2의 절연막의 표면상의 표면손상 층 및 절삭 잔부 등을 제거 할 수 있다. 이 때, 생성물의 생성량은 혼합 기체의 파라메타에 의해 제어할 수 있다. 따라서, 제2의 절연막의 표면상의 표면손상 층 및 절삭 잔부 등의 제거량의 제어를 용이하게 실행할 수 있다. 또한, 도전 막 뿐만아니라 포토레지스트 층도 동시에 화학 기계연마에 의해 연마되기 때문에, 스루풋(throughput)을 향상할 수 있다.

Claims (20)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 단계와,
    상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 단계와,
    상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 단계와,
    해당 형성된 포토레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 단계와,
    상기 포토 레지스트 층을 제거하는 애싱 단계와,
    상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막해 서 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 단계와,
    상기 성막된 도전 막을 화학 기계연마에 의해 연마하는 도전 막 연마 단계와,
    상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 단계와,
    상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 단계를 갖는 것을 특징으로 하는
    전자 디바이스의 제조 방법.
  14. 제 13 항에 있어서,
    상기 가공 성형된 접속 구멍의 표면을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 접속 구멍표면 폭로 단계와,
    상기 혼합 기체의 분위기에 폭로된 접속 구멍의 표면을 소정의 온도로 가열하는 접속 구멍 표면 가열 단계를 갖는 것을 특징으로 하는
    전자 디바이스의 제조 방법.
  15. 제 14 항에 있어서,
    상기 소정의 온도로 가열된 접속 구멍의 표면을 도전성 배리어로 피막하는 접속 구멍 피막 단계를 더 구비하는 것을 특징으로 하는
    전자 디바이스의 제조 방법.
  16. 반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 배선 형성 단계와,
    상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 단계와,
    상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 단계와,
    해당 형성된 포토 레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 단계와,
    상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막하여 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 단계와,
    상기 포토 레지스트 층 및 상기 성막된 도전 막을 화학 기계연마에 의해 연마하는 도전 막 연마 단계와,
    상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 단계와,
    상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 단계를 갖는 것을 특징으로 하는
    전자 디바이스의 제조 방법.
  17. 삭제
  18. 삭제
  19. 전자 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,
    반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 배선 형성 모듈과,
    상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 모듈과,
    상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 모듈과,
    해당 형성된 포토 레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 모듈과,
    상기 포토레지스트 층을 제거하는 애싱 모듈과,
    상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막 해서 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍 충전 모듈과,
    상기 성막된 도전 막을 화학 기계연마에 의해 연마하는 도전 막 연마 모듈과,
    상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연막 폭로 모듈과,
    상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열 하는 제2의 절연막 가열 모듈을 갖는 것을 특징으로 하는
    프로그램을 기록한 기록 매체.
  20. 전자 디바이스의 제조 방법을 컴퓨터에 실행시키는 프로그램을 기록한 기록 매체에 있어서,
    반도체 기판의 표면에 성막된 제1의 절연막에 제1의 도전성 재료로 이루어지는 배선을 형성하는 배선 형성 모듈과,
    상기 제1의 절연막상에, 상기 배선을 덮는 제2의 절연막을 성막하는 제2의 절연막 성막 모듈과,
    상기 성막된 제2의 절연막상에 소정의 패턴의 포토레지스트 층을 형성하는 포토레지스트 층 형성 모듈과,
    해당 형성된 포토 레지스트 층을 이용하여 플라즈마 처리에 의해 상기 제2의 절연막에 있어서 상기 배선에 도달하는 접속 구멍을 가공 성형하는 플라즈마 가공 성형 모듈과,
    상기 제2의 절연막상에, 제2의 도전성 재료로 이루어지는 도전 막을 성막 해서 상기 접속 구멍에 상기 제2의 도전성 재료를 충전하는 접속 구멍충전 모듈과,
    상기 포토레지스트 층 및 상기 성막된 도전 막을 화학기계 연마에 의해 연마하는 도전막 연마 모듈과,
    상기 화학 기계연마에 의해 노출한 상기 제2의 절연막을 소정의 압력하에 있어서 암모니아와 불화수소를 포함하는 혼합 기체의 분위기에 폭로하는 제2의 절연 막 폭로 모듈과,
    상기 혼합 기체의 분위기에 폭로된 상기 제2의 절연막을 소정의 온도로 가열하는 제2의 절연막 가열 모듈을 갖는 것을 특징으로 하는
    프로그램을 기록한 기록 매체.
KR1020060013737A 2005-02-14 2006-02-13 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체 KR100852520B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005036717 2005-02-14
JPJP-P-2005-00036717 2005-02-14
JP2005278841A JP4843285B2 (ja) 2005-02-14 2005-09-26 電子デバイスの製造方法及びプログラム
JPJP-P-2005-00278841 2005-09-26

Publications (2)

Publication Number Publication Date
KR20060018917A KR20060018917A (ko) 2006-03-02
KR100852520B1 true KR100852520B1 (ko) 2008-08-14

Family

ID=36128408

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060013737A KR100852520B1 (ko) 2005-02-14 2006-02-13 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체

Country Status (4)

Country Link
EP (1) EP1691409A1 (ko)
JP (1) JP4843285B2 (ko)
KR (1) KR100852520B1 (ko)
TW (1) TWI385722B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6587379B2 (ja) * 2014-09-01 2019-10-09 株式会社荏原製作所 研磨装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990088436A (ko) * 1998-05-20 1999-12-27 가네꼬 히사시 구리배선을화학적기계적으로연마한후반도체웨이퍼를세정하는방법
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
KR20050032435A (ko) * 2003-10-01 2005-04-07 동부아남반도체 주식회사 반도체소자의 플러그 제조 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5685951A (en) * 1996-02-15 1997-11-11 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity in a vapor phase system
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2002299316A (ja) * 2001-03-29 2002-10-11 Toshiba Corp プラズマ処理方法
JP3749860B2 (ja) * 2001-12-04 2006-03-01 大日本スクリーン製造株式会社 ポリマー除去方法およびポリマー除去装置
TWI302950B (en) * 2002-01-28 2008-11-11 Mitsubishi Chem Corp Cleaning solution and method of cleanimg board of semiconductor device
JP2004134783A (ja) * 2002-09-19 2004-04-30 Sumitomo Chem Co Ltd 半導体基板用洗浄液および半導体デバイスの製造方法
US6656824B1 (en) * 2002-11-08 2003-12-02 International Business Machines Corporation Low resistance T-gate MOSFET device using a damascene gate process and an innovative oxide removal etch
US6858532B2 (en) * 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
US7205228B2 (en) * 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990088436A (ko) * 1998-05-20 1999-12-27 가네꼬 히사시 구리배선을화학적기계적으로연마한후반도체웨이퍼를세정하는방법
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
KR20050032435A (ko) * 2003-10-01 2005-04-07 동부아남반도체 주식회사 반도체소자의 플러그 제조 방법

Also Published As

Publication number Publication date
TW200723390A (en) 2007-06-16
EP1691409A1 (en) 2006-08-16
TWI385722B (zh) 2013-02-11
KR20060018917A (ko) 2006-03-02
JP2006253633A (ja) 2006-09-21
JP4843285B2 (ja) 2011-12-21

Similar Documents

Publication Publication Date Title
JP4860219B2 (ja) 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
TWI624860B (zh) 含氧之陶瓷硬遮罩及相關濕式清潔
US20050257890A1 (en) Method of cleaning an interior of a remote plasma generating tube and appartus and method for processing a substrate using the same
US9627608B2 (en) Dielectric repair for emerging memory devices
TWI389194B (zh) A substrate processing apparatus, a substrate processing method, and a memory medium
US20060196527A1 (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
KR100904105B1 (ko) 반도체 장치의 제조 방법
US20090001046A1 (en) Substrate processing method, substrate processing apparatus and recording medium
WO2006003948A1 (ja) 半導体デバイスの製造方法
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
KR20070057057A (ko) 반도체 장치의 제조 방법, 기판 처리 시스템 및 기록 매체
US8956546B2 (en) Substrate processing method and substrate processing apparatus
KR102272823B1 (ko) 에칭 방법 및 에칭 장치
KR100832164B1 (ko) 기판 표면 처리 방법, 기판 세정 방법 및 프로그램을기록한 기록 매체
TWI415177B (zh) A substrate processing method and a substrate processing apparatus
US8524101B2 (en) Method and apparatus for manufacturing semiconductor device, and storage medium
JP5544893B2 (ja) 基板処理方法及び記憶媒体
KR100852520B1 (ko) 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체
US7993540B2 (en) Substrate processing method and substrate processing apparatus
CN100449709C (zh) 基板处理方法、清洗方法、电子设备的制造方法和程序
JP5069982B2 (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180717

Year of fee payment: 11