TW201602392A - 氣相沉積膜中用以減輕瑕疵狀態之方法及設備 - Google Patents

氣相沉積膜中用以減輕瑕疵狀態之方法及設備 Download PDF

Info

Publication number
TW201602392A
TW201602392A TW104101422A TW104101422A TW201602392A TW 201602392 A TW201602392 A TW 201602392A TW 104101422 A TW104101422 A TW 104101422A TW 104101422 A TW104101422 A TW 104101422A TW 201602392 A TW201602392 A TW 201602392A
Authority
TW
Taiwan
Prior art keywords
reaction chamber
film
substrate
depositing
plasma
Prior art date
Application number
TW104101422A
Other languages
English (en)
Other versions
TWI643974B (zh
Inventor
阿魯爾N 達斯
阿希爾 欣荷
明 李
卡里姆 博麥特
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201602392A publication Critical patent/TW201602392A/zh
Application granted granted Critical
Publication of TWI643974B publication Critical patent/TWI643974B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文中的實施例提供在基板上沉積薄膜的方法與設備。在各種情況下,在使用反應室處理基板之前使用一高熱傳導氣體如氦氣將一調節層沉積至反應室的複數表面上。將反應物輸送至反應室前,可使用氦氣協助經加熱的注射模組中的一液體反應物的霧化/蒸發。在某些實施例中,在沉積至基板期間的一沉積後吹淨步驟中使用包含氦氣的一吹淨氣體。本發明之實施例讓混合配方處理毋需在不同配方之間清理反應室且不會在基板上形成大量的粒子/瑕疵。即便在單一反應室中使用混合配方的情況下,這亦可改善高品質薄膜的產量。

Description

氣相沉積膜中用以減輕瑕疵狀態之方法及設備
本發明係關於準備反應室並將材料沉積於基板上的方法與設備。
隨著半導體工業的進步,裝置的尺寸也愈變愈小。由於薄膜雜質或其他不均勻性的存在可能會導致半導體裝置的故障,因此這些更加較小的特徵部需要極度均均的沉積程序。披覆下層膜可協助改善晶圓之晶圓之間的厚度均勻性以及晶圓內的厚度均勻性。
本發明之實施例的某些態樣係關於用以準備反應室並將材料沉積於基板上的方法與設備。反應室可藉由沉積一調節層如披覆下層膜及/或沉積前披覆層來加以準備。調節層協助保護其下的反應室表面並將在基板於反應室中接受處理時可能會掉落到基板上造成瑕疵的粒子的形成最少化。調節層藉著例如準備反應室並平衡反應室阻抗亦可促進在基板上的均勻沉積結果。
在本發明之實施例的一態樣中,提供一種用以在基板上沉積薄膜之反應室的準備方法,其包含:使一液態試劑流入一經加熱的注射模組中;在該經加熱的注射模組中於氦氣存在的情況下霧化該液態試劑以產生包含經霧化的液態試劑與該氦氣的一源氣體;使該源氣體自該經加熱的注射模組流入一反應室中;及使該反應室曝露至電漿以在無基板存在的該反應室的複數表面上自該源氣體沉積一薄膜。
在某些情況中,該液態試劑可為TEOS。該TEOS可以小於約10 mL/min的流率流入該經加熱的注射模組中。該源氣體更可包含一共反應物。在一實例中,該共反應物包含氧氣。在某些實施例中,該源氣體可包含介於約40-80 %的氦氣。
在某些情況中,使該源氣體流入該反應室及將該反應室曝露至該電漿係至少部分地同時進行,藉此經由一化學氣相沉積氣相反應沉積該薄膜。在其他情況中,使該源氣體流入該反應室及將該反應室曝露至該電漿係循環性地進行,以經由一原子層沉積表面反應沉積該薄膜。在某些實施例中,該反應室持續曝露至該電漿介於約30-90秒的時間以形成一披覆下層膜。可重覆該方法以在該披覆下層膜上形成一沉積前披覆層,其中在該沉積前披覆層的形成期間將該反應室持續曝露至電漿介於約60-350秒的時間,其中在該反應室之該複數表面上在形成該披覆下層膜期間的沉積速率係高於在形成該沉積前披覆層期間的沉積速率。在某些情況中,該調節層可以是一沉積前披覆層或者一沉積前披覆層連同下方的披覆下層膜。將該反應室曝露至電漿可持續進行介於約60-350秒的時間以形成厚度介於約1-1.5 µm的該沉積前披覆層。
在本發明之實施例的另一態樣中,提供一種在基板上沉積薄膜的方法,其包含:使包含一第一試劑與氦氣的一第一源氣體流入一反應室中並將該反應室曝露至一第一電漿以在無基板存在的該反應室的複數表面上沉積一第一薄膜,其中該第一試劑在室溫下為液態;將一基板提供至該反應室;及使一第二源氣體流入該反應室中並將該反應室曝露至一第二電漿,藉此在該基板上沉積一第二薄膜,其中該第二源氣體包含在室溫下為液態的一第二試劑且該第二源氣體為實質上無氦的。
該第一試劑可例如包含TEOS。當TEOS在室溫下為液態時量測到流入該反應室之該第一源氣體中的TEOS的流率可少於約10 mL/min。該方法更可包含:在該第二薄膜或部分之該第二薄膜形成在該基板上後,利用包含氦氣的一吹淨氣體持續吹淨該反應室至少約3秒。該吹淨氣體中之氦氣的流率可介於約10-20 SLM。
如上所述,在某些情況中沉積在該反應室之該複數表面上之該調節層可包含一披覆下層膜與一沉積前披覆層。如此一來,該方法更可包含:在將該基板提供至該反應室前,使包含一第三試劑與氦氣的一第三源氣體流入該反應室並將該反應室曝露至一第三電漿,藉此在無基板存在的該反應室的該複數表面上沉積一第三薄膜,其中該第三試劑在室溫下為液態,其中該反應室係持續曝露至該第一電漿介於約30-90秒的時間,其中該反應室係持續曝露至該第三電漿介於約60-350秒的時間,其中在沉積該第一薄膜時的一沉積速率係高於在沉積該第三薄膜時的一沉積速率。在此情況中,該第一薄膜可為一披覆下層膜,該第三薄膜可為一沉積前披覆層,且該第二薄膜可為沉積在該基板上之一材料層。
在許多情況中,可使用一個以上的配方將材料沉積在單一反應室內的複數基板上。每次改變一配方,該反應室可經歷一新的調節處理以將一第二調節層沉積在該複數反應室表面上。在前一輪基板沉積期間內,該第二調節層可被直接沉積在已沉積於該複數反應室表面上的薄膜上。當情況為如此時,該方法更可包含:在將該第二薄膜沉積至該基板上後,自該反應室移除該基板;使一第四源氣體流入該反應室中並將該反應室曝露至一第四電漿,藉此將一第四薄膜沉積至該反應室的該複數表面上,其中該第四源氣體包含氦氣;在該反應室中接收一第二基板;及使一第五源氣體流入該反應室中並將該反應室曝露至一第五電漿,藉此將一第五薄膜沉積至該第二基板上,其中將該第二薄膜沉積至該基板上與將該第五薄膜沉積至該第二基板上之間至少有一反應參數不同,其中該反應參數係選自由下列者所構成的族群:用以產生電漿的功率、用以產生電漿的頻率、電漿曝露時間、被輸送至該反應室的反應物、輸送反應物至該反應室的時序、反應物被輸送至該反應室的流率、壓力、電極間隙及溫度。在此情況中,該複數反應室表面上的該第四薄膜可為一第二預沉積層。
在將該第二薄膜沉積至該基板上之後,在毋需先清理該反應室的該複數表面的情況下將該第四薄膜沉積至該反應室的該複數表面上。被沉積在該基板上的該第二薄膜及被沉積在該第二基板上的該第五薄膜(每一者)上皆具有少於約10顆之約0.04 µm或可測得之更大的粒子。在該第四薄膜被形成於該反應室該複數表面上之後立即將該第五薄膜沉積至第二基板上,兩薄膜沉積之間並未插入在該反應室內的任何沉積操作,且該第五薄膜上可具有少於約20顆約0.04 µm或可測得之更大的粒子。該第一薄膜可具有介於約1-1.5 µm的厚度。
在本發明之實施例的另一態樣中,提供一種用以在基板上沉積薄膜的設備。該設備可包含:一反應室,具有用以輸送氣相反應物的一或多個入口;一經加熱的注射模組,與該入口耦合,用以蒸發及/或霧化液相反應物;一出口,用以自該反應室移除材料;一電漿產生源,用以將該反應室曝露至電漿;及一控制器,用以進行本文中所述的任何方法。
在一實施例中,該控制器係用以:使一液態試劑流入該經加熱的注射模組;在該經加熱的注射模組中於有氦氣存在的狀態下霧化該液態試劑,以產生包含經霧化的液態試劑與氦氣的一源氣體;使該源氣體自該經加熱的注射模組流入該反應室中;及將該反應室曝露至電漿以在無基板存在的該反應室的複數表面上自該源氣體沉積一薄膜。該控制器可用以流動包含介於約40-80體積%之氦氣的一源氣體。該控制器可用以使一共反應物如氧氣流入該反應室。在某些實施例中,該控制器係用以使該源氣體流入該反應室中與將該反應室曝露至電漿至少部分地同時進行,藉此經由一化學氣相沉積氣相反應沉積該薄膜。在其他的實施例中,該控制器係用以使該源氣體流入該反應室中與將該反應室曝露至電漿係循環性地進行,藉此經由一原子層沉積表面反應沉積該薄膜。該控制器可用以將該反應室持續曝露至電漿介於約30-90秒的時間以形成一披覆下層膜。該控制器可用以重覆該方法以在該上形成一沉積前披覆層,其中該控制器係用以在沉積該沉積前披覆層之期間將該反應室持續曝露至電漿介於約60-350秒的時間。該控制器可用以使形成該披覆下層膜期間的一沉積速率高於形成該沉積前披覆層期間的一沉積速率。
本發明之實施例的另一態樣中,該控制器可用以將一調節層沉積至該反應室表面,接著在已調節過的該反應室中將薄膜沉積至該基板上。在一實例中,該控制器可用以:使包含一第一試劑與氦氣的一第一源氣體流入該反應室中並將該反應室曝露至一第一電漿,藉此在無基板存在的該反應室的複數表面上沉積一第一薄膜,其中該第一試劑在室溫下為液態;將一基板提供至該反應室;及使一第二源氣體流入該反應室中並使該反應室曝露至一第二電漿,藉此在該基板上沉積一第二薄膜,其中該第二源氣體包含在室溫下為液態的一第二試劑,其中該第二源氣體為實質上無氦的。
該控制器可具有指令以使該第一試劑以小於約10 mL/min的流率流動,此數值係於該第一試劑在室溫下為液態的情況下量測。該第一試劑可為TEOS。該控制器亦可用以利用包含氦氣的一吹淨氣體持續吹淨該反應室至少約3秒。該吹淨步驟可在該第二薄膜或部分該第二薄膜被沉積至該基板上之後進行。該控制器可用以使該吹淨氣體中的該氦氣以介於約10-20 SLM的流率流動。該第二試劑亦可包含TEOS。在某些情況中,該控制器可用以使包含一第三試劑與氦氣的一第三源氣體流入該反應室中並將該反應室曝露至一第三電漿,藉此在將該基板提供至該反應室之前在該反應室的複數表面上沉積一第三薄膜,其中該第三試劑在室溫下為液態。該控制器可用以將該反應室持續曝露至該第一電漿介於約30-90秒的時間,將該反應室持續曝露至該第三電漿介於約60-350秒的時間。該控制器亦可用以在一較高沉積速率下沉積該第三薄膜,該較高沉積速率係高於該第一薄膜的沉積速率。
該控制器更可用以根據兩或更多配方將薄膜沉積至複數基板上。當情況為如此時,該控制器可用以:在將該第二薄膜沉積至該基板上後,自該反應室移除該基板;使一第四源氣體流入該反應室中並將該反應室曝露至一第四電漿,藉此將一第四薄膜沉積至該反應室的該複數表面上,其中該第四源氣體包含氦氣;在該反應室中容納一第二基板;及使一第五源氣體流入該反應室中並將該反應室曝露至一第五電漿,藉此將一第五薄膜沉積至該第二基板上,其中將該第二薄膜沉積至該基板上與將該第五薄膜沉積至該第二基板上之間至少有一反應參數不同,其中該反應參數係選自由下列者所構成的族群:用以產生電漿的功率、用以產生電漿的頻率、電漿曝露時間、被輸送至該反應室的反應物、輸送反應物至該反應室的時序、反應物被輸送至該反應室的流率、壓力、電極間隙及溫度。
在某些情況中,該控制器可用以在將該第二薄膜沉積至該基板上之後,在毋需先清理該反應室的該複數表面的情況下將該第四薄膜沉積至該反應室的該複數表面上。被形成於該複數基板上的薄膜可具有極低位準之粒子形成。
在本發明之實施例的另一態樣中,提供一種在基板上沉積薄膜的方法,其包含:使包含TEOS的一源氣體流入具有基板於其中的一反應室中;產生電漿;沉積薄膜於該基板上;及利用包含氦氣的一吹淨氣體持續吹淨該反應室至少約3秒的時間。
在某些實施例中,可利用在約150°C下熱傳導性至少約150 mW/(m*K)的另一氣體來代替該氦氣。在一實例中,可使用氫氣來代替氦氣或者額外加入氫氣。
下面將參考附圖說明此些與其他特徵。
在本案中,「半導體晶圓」、「晶圓」、「晶圓基板」及「部分製造完成之積體電路」等詞可互換使用。本領域中具有通常知識者當能瞭解,「部分製造完成之積體電路」可指於矽晶圓上進行之積體電路製造之眾多階段中之任何階段期間的矽晶圓。在半導體裝置業界中所用的晶圓或基板通常具有200或300 mm的直徑,但此領域正在朝向450 mm直徑的基板邁進。本文中所提供的流率與功率位準適合用於處理300 mm 基板。本領域中具有通常知識者當能瞭解,其他尺寸的基板可能需要調整此些流率。可受惠於本發明實施例之其他類型反應器包含製造各種物品如印刷電路板、顯示器等的反應器。除了半導體晶圓之外,本文中所述的方法與設備可搭配其他類型之基板(包含玻璃與塑膠面板)用的沉積室。
在下面的敘述中將提供各種特定細節以提供對所述實施例的全面瞭解。本發明之實施例可在缺乏部分或全部此些特定細節的情況下實施。在其他的情況下,不詳細說明習知的處理操作以免不必要地模糊本發明之實施例。雖然將利用特定實施例來說明本發明,但應瞭解,其意不在限制本發明。例如,雖然許多內容聚焦在利用四乙氧基矽烷(TEOS)作為試劑之薄膜沉積,但發明人預期在室溫下為液態的任何試劑皆能受惠於本發明之技術。
順形均勻的二氧化矽(SiO2 )薄膜與其他介電薄膜在半導體製造中具有許多應用。薄SiO2 薄膜的一尋常應用為相鄰電晶體的電隔離。電隔離可藉著以絕緣性二氧化矽實體分離相鄰電晶體元件來達成。有時會選擇化學氣相沉積(CVD)與電漿增強化學氣相沉積(PECVD)等方法來沉積淺溝槽隔離(STI)用的氧化矽膜或其他薄膜,尤其是在特徵部相對地大時的情況下。然而,隨著裝置持續微縮,特徵部的深寬比(深度比寬度)增加,傳統的CVD技術無法再於此些高深度比的特徵部中提供適當順序的薄膜。
CVD的一代替方案為原子層沉積(ALD)處理與電漿增強原子層沉積(PEALD)處理。除非在後文中另外指出,否則ALD一詞旨在包含PEALD且CVD一詞旨在包含PECVD。ALD方法涉及反應物氣體的自我限制吸附,因此可在高深寬比的特徵部內提供薄且順形的介電薄膜。業界已針對氧化矽與其他類型薄膜的沉積發展出ALD方法。ALD所製造的薄膜極薄(例如約一單層),因此可重覆多個ALD循環以適當地填充一間隙特徵部。
相對於使用經活化之氣相反應來沉積薄膜的CVD處理,ALD處理使用表面-媒介沉積反應以一層接著一層的方式來沉積薄膜。在一ALD處理的實例中,包含了許多表面活性位置的基板被曝露至第一薄膜前驅物(P1)的氣相分佈中。某些P1分子可在基板表面上形成凝結相,包含P1之經化學吸附的物種及經物理吸附的分子。接著排空反應器以移除氣相及經物理吸附的P1,因此只有經化學吸附的物質留下來。接著將第二薄膜前驅物(P2)導入反應器中,因此某些P2分子吸附至基板表面。反應器可被再次排空,這次移除未鍵結的P2。接下來,將能量提供至基板(例如熱能或電漿能量)啟動在已吸附之分子P1與P2之間的表面反應,形成薄膜層。最後,排空反應器以移除副產物及可能尚未反應的P1與P2,結束該ALD循環。可包含額外的複數ALD循環以建立薄膜。
取決於前驅物劑量步驟的曝露時間以及前驅物的黏滯係數,每一ALD循環可沉積在一實例中介於約0.5Å-3Å厚度的膜層。
亦可將順形薄膜沉積至平的基板上。例如,可自包含交替膜層的平坦疊層形成微影圖案化應用的抗反射層。此類抗反射層可具有約100Å-1000Å的厚度,這使得較緩慢的ALD處理不如較快速的CVD處理來得有吸引力。然而,此類抗反射層對於晶圓內厚度變異的容裕度係低於許多CVD處理可提供的晶圓內厚度變異。例如,600Å厚的抗反射層可容忍小於3Å的厚度範圍。
對於小特徵部尺寸能提供可行方案之替代CVD的相對沉積技術為順形膜沉積(CFD)。一般而言,CFD並不仰賴在反應形成薄膜之前完全吹淨一或多種反應物。例如,當電漿(或其他活化能量)擊發時在氣相中存在有一或多種反應物。因此,在一例示性的CFD 處理中可縮短或消除ALD處理中所述的一或多個處理步驟(如吹淨步驟)。在某些情況中,可連續地提供一種反應物。連續提供的反應物通常是一種共反應物/輔助反應物(例如含氧或含氮反應物,相對於主反應物如會對最終膜層貢獻矽的反應物)。即便是在CFD處理中,一般必須要最小化當反應室曝露至電漿時反應室中未吸附之主反應物的量。否則,可能會導致氣相CVD反應。通常,能夠進行ALD處理的反應室也能進行CFD處理,如本文中所定義, CFD處理被認為是一種 ALD處理。在某些實施例中,可使用新穎調節層的形成處理於CVD、ALD或CFD 反應室(包含使用電漿的反應器)中沉積披覆下層膜及/或沉積前披覆層。
隨著半導體裝置的尺寸持續微縮,製造方法會變得對污染更敏感。在化學氣相沉積與原子層沉積處理的文義下此類污染原的實例為與前驅物蒸發及沉積後之吹淨相關的粒子(約0.04 µm的小粒子)生成。當單一設備被用於實施複數配方時,通常會惡化瑕疵形成。
降低污染粒子形成的一種技術是在沉積室用於沉積材料至基板上之前調節沉積室。此類調節可包含將一或更多層調節層(例如披覆下層膜 及/或沉積前披覆層)沉積至反應室的複數表面上。變成受到披覆之複數表面的實例包含反應室壁/頂板/樓板、平臺、基板載環、噴淋頭、排放系統、流體線、泵浦、轉子、晶圓傳送臂、填隙板(filler plates)、第二吹淨圈等。
反應室調節層可為一披覆下層膜及/或一沉積前披覆層。在各種情況中,使用一披覆下層膜與一沉積前披覆層兩者。通常披覆下層膜與沉積前披覆層係經由類似的處理所形成且可使用相同或相異的化學品。然而,通常先以相對較高的沉積速率(例如介於約2000-5000 Å/min)並以相對短的時間(例如介於約30-90秒)將披覆下層膜形成於裸/潔淨的反應室上。相對地,可以相對較低的沉積速率(例如介於約800-1800 Å/min)並以相對長的時間(例如介於約60-600或60-350秒)將沉積前披覆層形成於披覆下層膜上。在某些情況中,在使用沉積室利用第一配方沉積薄膜至基板上之後並在使用沉積室利用第二配方沉積薄膜至基板之前,將第二預沉積層沉積在複數反應室表面。此第二預沉積層可用以調節沉積室,俾使沉積室準備好以處理第二配方並產生最少的粒子/瑕疵。有時第二預沉積層被稱為智慧的沉積前披覆層或智慧預披覆層。一般而言,沉積智慧的沉積前披覆層毋需移除在第一配方處理期間沉積在複數反應室表面上的累積薄膜。除了智慧的沉積前披覆層通常在較短的時間(例如介於約30-90秒)內形成外,智慧的沉積前披覆層可以正常之沉積前披覆層的相同方法沉積。智慧的沉積前披覆層能讓沉積室處理複數配方但卻不必完全清理反應室,藉此節省時間並增加產量。如本文中所用的,除非另外指出,否則調節層可被稱為披覆下層膜、沉積前披覆層(有時被稱為預披覆層)、第二預沉積層或其組合。
調節層被定義為,當無基板於反應室中時形成在反應室之內表面上的膜層,調節層沉積的目的在於披覆之後處理基板用的反應室。調節層係不同於在將材料沉積於基板上之正常沉積處理期間所產生的薄膜累積物。相對於在沉積至基板期間所產生的累積物,一般調節層係沉積在無任何基板存在的反應室中。又,披覆下層膜可被直接沉積在裸露的反應室表面上(如鋁上)或被沉積在反應室表面上的永久層上(如氟化鋁上),但若在沉積基板期間所沉積的薄膜是沉積在先前形成的披覆下層膜或沉積前披覆層上則其通常不會與此些表面接觸。基板是可被插入反應室中並自反應室移除的一片固體材料,其不是反應室的一部分,薄膜係沉積於基板上且一般也期望薄膜沉積係發生於基板上。在半導體裝置製造的文義下,半導體晶圓(在其上具有薄膜(複數薄膜)或不具薄膜(複數薄膜))是一典型的基板。在許多情況中,基板為碟形且具有例如200、300或450 mm的直徑。基板通常會經歷許多回的處理以成為半導體裝置。然而,某些其他的基板卻不欲成為功能完全的裝置。此些基板可被稱為控擋片,控擋片例如可被用來作為評估沉積處理的測試載具或用以平衡反應室的犧牲基板。當沉積一或多層調節層時,無基板的反應室讓調節層能均勻地披覆反應室表面。
調節層亦不同於在某些測試循環期間被沉積至反應室表面上的膜層,例如在測試循環期間會於無任何基板存在的情況下進行沉積處理相對少次的循環。一差異在於,調節層形成處理可持續進行直到達到期望的最小調節層厚度為止。此最小厚度對於確保調節層能夠達到期望的功能(例如最小化剝落與粒子形成並最大化均勻度)是很重要的。另一差異在於,調節層形成處理的進行特別是用來準備處理基板用之反應室,但測試循環的進行通常是為了其他的目的。
調節層可協助改善晶圓與晶圓之間的厚度均勻性及晶圓內的厚度均勻性。在電漿處理設備的文義下使用反應室調節層尤其有利,電漿處理設備例如是用以沉積電漿增強原子層沉積(PEALD)薄膜或電漿增強化學氣相沉積(PECVD)薄膜的電漿處理設備。調節層通常用來協助降低在反應室表面上的金屬污染及引發瑕疵之粒子的形成。當薄膜沉積時此些粒子可能會從反應室表面剝落而掉落在基板上,藉此造成非所欲之薄膜雜質並增加裝置故障的可能性。在反應室表面上使用調節層的另一優點是,其可協助穩定反應器內的阻抗。
在無調節層的情況下沉積在反應室中的薄膜通常具有實質上金屬污染的問題。在許多情況中,反應室本身是由金屬(例如鋁)所製成的。當未披覆的金屬反應室曝露至電漿時,小量的金屬可能會從反應室表面被移除然後掉到基板薄膜上。此些金屬雜質可負面地影響形成在基板上之裝置的效能,在某些情況中可能會導致裝置故障。至於談到平衡阻抗,反應室調節層在經由氣相反應沉積CVD系之薄膜時尤其有用。由於反應室係由金屬所製成而沉積在基板上的薄膜通常是介電材料,故反應室會隨著介電材料的沉積而改變。是以,藉著提供調節層,在處理任何基板前反應室的阻抗可變得相對穩定,藉此最大化處理均勻度。
在過去,例如小於約0.2 µm且大於0.1 µm之污染粒子的形成並不被認為是問題。由於此些小粒子通常不會在製造期間造成問題,因此大家不認為必須要偵測此類粒子。然而,當半導體裝置上的特徵部變得愈來愈小時,會造成問題之粒子的最小尺寸亦變得更小。對於1x (14-18 nm)與2x (22-28 nm)處理節點的現今技術而言,尺寸至少約0.04 µm的粒子尤其重要。
在本案的各種實施例中,利用在室溫下為液態的一試劑沉積一反應室調節層。此類試劑的一實例為四乙氧基矽烷(TEOS)。因為試劑在室溫下為液態,故在將其以氣相輸送至反應室之前會對其加熱/霧化。液態試劑可被輸送至經加熱的注射模組,在該處其可在熱氣體的存在下受到霧化。接著熱氣體/經霧化之試劑的蒸氣可被輸送至反應室。已發現,當形成調節層時,在熱氣體中包含氦氣能形成優異的調節層,此調節層能最小化後續處理期間的粒子污染。在某些實施例中,使用一不同的氣體來取代氦氣。用以取代氦氣的任何氣體一般都具有高熱傳導性(例如在約150°C下至少約150 mW/(m*K)的熱傳導性)。氦氣在約400K(約127°C)下具有約190.6 mW/(m*K)的熱傳導性。適合的例示性氣體為氫氣。然而,氫氣可能比氦氣更危險且更昂貴。
調節層可經由PECVD或PEALD反應所形成。PECVD調節層反應涉及將一或多種氣相反應物供給至反應室並在供給試劑(複數試劑)時將反應室曝露至電漿。這會造成在氣相反應中將反應產物沉積至反應室的複數表面上。PEALD調節層反應涉及循環性地將一或多種氣相反應物供給至反應室、讓反應物吸附在反應室表面上、在至少一反應物停止流動時將反應室曝露至電漿。這會造成表面反應直接發生在已吸附了反應物的複數表面上。在某些PEALD反應中,複數反應物係分別輸送,且在輸送一或多種反應物之後可使用一吹淨或掃除步驟以自反應室移除剩餘的反應物。
PEALD處理流程的一實例係如下所述:(1)使一第一反應物流入一反應室中並讓其吸附至複數反應室表面上;(2)自反應室掃除/吹淨該第一反應物;(3)使一第二反應物流入反應室中並讓該第二反應物吸附至該複數反應室表面上;(4)自反應室掃除/吹淨該第二反應物;(5)將反應室曝露至電漿以驅動該第一與第二反應物之間的反應;及(6)掃除/吹淨反應室。此方法可重覆直到獲得期望的薄膜厚度。在某些實施例中,可省略一或多個掃除/吹淨步驟。
另一例示性PEALD處理可涉及以連續方式輸送一或多種反應物。此類反應有時會被稱為順形膜沉積(CFD)反應。如前所述,每當施加電漿時便會停止至少一反應物(通常是含矽的主反應物)的流動。這使得反應能以自我限制方式進行。此類CFD反應的一例示性方法流程係如下所述:(1)使一第一反應物連續地流入反應室;(2)將一第二反應物的劑量輸送至反應室;(3)自反應室掃除/吹淨該第二反應物;(4)將反應室曝露至電漿;(5)掃除/吹淨反應室。可重覆此方法以達到期望的薄膜厚度。除非另外指出,否則本文中所謂的PEALD反應意在包含CFD反應。在下列的美國專利申請案中更進一步地討論敘述了CVD、ALD與CFD反應,將每一者全部包含於此作為參考:2011年4月11日申請之美國專利申請案US 13,084,399,名為“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”;及2011年9月1日申請之美國專利申請案US 13,224,240,名為“PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION”。
某些PECVD與PEALD反應可僅使用單一反應物,但其他反應可使用兩或更多種反應物。特定反應的發生意不在限制本發明,一般預期當用以形成調節層之至少一反應物在室溫下為液態時,文中所述的實施例皆為有利的。
圖1提供經由PECVD系的方法製備反應室用之調節層的方法,圖2提供經由PEALD系的方法製備調節層的方法。在反應室接收一或多層調節層後,其可被用以例如沉積 CVD系及/或ALD系的薄膜(包含PECVD系及PEALD系的薄膜)。
參考圖1,方法從步驟101處開始,使液態試劑入經加熱的注射模組中。在某些情況中,液態試劑可為TEOS,但實施例並不限於此。在將液態試劑輸送至經加熱的注射模組之前,可將液態試劑儲存在加壓容器 (例如介於約25-55 psi)中。液態試劑在加壓容器中可處於或接近室溫。自此,經由具有數個孔口的小噴嘴將液態試劑推入經加熱的注射模組中。當液態試劑進入經加熱的注射模組時所流經的孔口非常地小(例如直徑介於約0.005-0.007”),且在經加熱的注射模組的內部壓力(壓力可具有約200 Torr的程度)與流經孔口(即注射器入口)的流體壓力(壓力可具有約25-35 psi即約1200-1800 Torr的等級)之間具有實質上的差異。在某些情況中,此兩區域之間的壓差可能甚至更大,如約25-30倍的等級。
在步驟103處使氦氣流入經加熱的注射模組中,在步驟105處於經加熱的注射模組中在氦氣的存在下霧化液態試劑以形成一源氣體。源氣體中之經霧化的TEOS或其他試劑可被蒸發或在下游導管中變成蒸發狀態。步驟101、103與105可同時進行俾使液態試劑係於氦氣存在的情況下霧化。當氦氣通過經加熱的注射模組時其為熱的,因此可在液態試劑被載送至處理用之反應室時協助將液態試劑維持在霧化的形式。氦氣的例示性溫度範圍可介於約80-180°C。在某些實施例中,TEOS或其他液態試劑在進入經加熱的注射模組時蒸發。在各種實施例中,可使用共反應物。共反應物可被分開輸送或可流入經加熱的注射模組,俾使液態試劑在氦氣與共反應物同時存在的情況下霧化。一例示性共反應物為氧氣 (O2 ),但本發明不限於此。
使用液態試劑所產生的一個問題在於,一部分的液態試劑會在輸送管線中凝結,這可能會促進薄膜瑕疵及其他的處理非均勻性。一般相信,最近在基板上偵測到的小粒子(例如約0.04 µm或更小)可能就是此類型的前驅物凝結所造成的。當凝結的前驅物存在於輸送管線中時,其可與通過輸送管線的其他處理氣體反應。凝結的前驅物與額外的處理氣體可在輸送管線中彼此反應以形成粒子,粒子通過輸送管線然後可能會被沉積在基板表面上。若試劑輸送管線中有冷點(即便冷點僅暫時存在),尤其可能發生此前驅物凝結。文中所揭露的各種實施例皆藉由在輸送管線中包含氦氣以對抗此前驅物凝結的問題。氦氣具有高熱傳導性,且發明人發現氦氣能有效地建立並維持通往反應室之輸送管線中的均勻氣體溫度。是以,藉著在經加熱的注射模組中於氦氣存在的情況下霧化液態試劑並使用氦氣作為用以將液態試劑輸送至反應室的載氣,可最少化或避免試劑輸送系統中的暫態冷點。結果是更均勻的氣體溫度、更均勻的試劑霧化/蒸發、較佳地避免試劑凝結、及最終地得到能較佳地避免瑕疵形成在後續沉積於基板上的薄膜上的一反應室調節層。
回到圖1,方法繼續,在步驟107處使源氣體流入無基板存在的反應室中。在步驟109處,可選擇性地使共反應物流入反應室中。如上所述,共反應物可與經霧化的液態試劑及氦氣一起自經加熱的注射模組流動,或共反應物可被分開提供。共反應物的使用會取決於所用的特定化學品。在一特定的實例中,液態試劑為TEOS而共反應物為氧氣,且其可與氦氣一起被輸送至單一經加熱的注射模組,以形成用以沉積TEOS氧化物調節層的源氣體。當於一CVD系的反應中使用共反應物時,共反應物可與經霧化的液態試劑/氦氣同時被輸送。
接下來,在步驟111處將無基板存在的反應室曝露至電漿。當源氣體與選擇性的共反應物流入反應室中時同時進行電漿曝露。此電漿曝露會使得一調節層被沉積於反應室的複數表面上。調節層可以是一披覆下層膜或一沉積前披覆層。在某些實施例中,重覆形成該方法以先形成一披覆下層膜然後在披覆下層膜上形成一沉積前披覆層。如前所述,相較於沉積前披覆層,披覆下層膜係通常以較高沉積速率沉積且沉積較短時間。
圖2顯示經由PEALD系反應將調節層沉積至反應室之複數表面上之方法的流程圖。步驟201、203與205係對應至圖1的步驟101、103 與105,故後續的討論不若前文來得詳細。在步驟201處,使液態試劑流入經加熱的注射模組。在步驟203處,使氦氣流入經加熱的注射模組。在步驟205處,液態試劑在經加熱的注射模組中於氦氣存在的情況下霧化。這形成了在步驟207處流入反應室中的源氣體。如上述的實例,源氣體流入無基板存在的反應室。圖2之ALD系的方法與圖1之CVD系的方法的一差異在於,在ALD系的方法中源氣體能吸附在反應室之複數表面上。選擇性地,在步驟209處使共反應物流入反應室中。共反應物亦能吸附在反應室的複數表面上。在源氣體與選擇性的共反應物變成吸附在反應室表面上後,在步驟211處將反應室曝露至電漿。在電漿曝露期間,無基板存在於反應室中。這導致經由ALD系的表面反應將調節層沉積在所有經曝露的反應室表面上。可重覆該方法以形成具有期望厚度的調節膜層。雖然圖2顯示自步驟207開始重覆方法,但當瞭解步驟201-205的進行係用以提供所需的源氣體。可使用圖2的方法形成一披覆下層膜及/或一沉積前披覆層。
圖3顯示其上具有調節層的簡化反應室。當此反應室用以將薄膜沉積至基板上時,基板(未顯示)係置於基板載環331上,基板載環331受到底座304(亦被稱為基板支撐件)的支撐而底座304係受到支撐柱308的支撐。處理氣體係經由入口351提供至反應室。在此實施例中,可使用一遠端電漿產生器350產生電漿。在反應物通過入口351後,反應物與其他處理氣體經由噴淋頭302而進入反應室。反應室的內表面(至少包含噴淋頭302、支撐件308、底座304、基板載環331及反應室300的壁、地板與頂板)係受到披覆下層膜306披覆。在圖中為了說明的目的所以誇大了披覆下層膜306的厚度。在某些實施例中,載環可在上與下位置之間移動。在某些實施例中,可在載環位於上位置時進行一披覆下層膜沉積俾使所有表面皆可受到沉積接取。
圖4A與4B顯示經由PECVD系的反應沉積一調節層的沉積時序圖。圖4A係關於在沉積調節層時未使用氦氣之方法。相對地,圖4B係關於在沉積調節層時提供氦氣的一實施例。兩圖皆關於使用TEOS 與氧氣沉積氧化矽調節層。對於圖4A與4B而言,O2 延遲是氧氣流入反應室但TEOS未流入反應室的時間期間。此期間可持續例如介於約3-8秒。氧氣的流率(當使用其他共反應物時則為其他共反應物的流率)可介約8-25每分鐘標準升(SLM)。此處的流率適用於300 mm晶圓,對於其他尺寸的基板可依需要增減。流率可基於基板的面積而線性增減。例如,用於300 mm晶圓之8-25 SLM的氧流率可被增加為用於450 mm晶圓之約18-55 SLM的TEOS流率。TEOS在 TEOS延遲期間的開始處流入反應室中。在一實例中此期間可持續介於約3-10秒,且TEOS可以小於約10 mL/min或小於約5 mL/min例如介於約0.5-2.5 mL/min的流率流動。此些TEOS流率係基於TEOS被霧化前的體積所量測出。O2 延遲與TEOS延遲可用以協助建立與穩定流入反應室中的氧氣流與TEOS流。
在預披覆及沉積後的期間內施加電漿。預披覆期間例如可介於約30-600秒(例如介於約30-90秒以沉積一披覆下層膜或一第二預沉積層,介於約60-600秒或介於約60-350秒以沉積一沉積前披覆層)。沉積後的期間可持續介於約3-6秒的時間。用以激發電漿的RF功率可介於約100-2500 W/站。在電漿熄滅前,TEOS流在預披覆期間的終點處結束。這使得大部分剩餘的TEOS能被處理用掉或自反應室移除。在預披覆期間經歷的處理條件對於控制被形成之調節層的品質是重要的。遇到的一個問題是,處理氣體的溫度在長預披覆期間內可能會變動。反應室的硬體與沉積處理對於此類的溫度變化是敏感的。是以,期望能在整個此段期間內及整合式液體輸送系統的所有部件內將處理氣體維持在一個均勻的溫度下。相對地,當材料被沉積在基板上時,沉積時間通常更加地較短,因此氣體溫度變動的問題比較不重要。
吹淨期間例如可持續介於約3-10秒的時間。吹淨氣體的流率可介於約0-10 SLM。在此期間內可將反應室泵浦至一基礎壓力(例如介於約40-80 mTorr)。在圖4A所示的實施例中吹淨氣體為氧氣,但在圖4B中吹淨氣體包含氧氣及氦氣。吹淨氣體可包含介於約50-80體積%的氦氣(SLM)。加載互鎖期間代表吹淨之後加載互鎖開啟之前的期間。如圖4B中所示,在此段期間內可停止氦氣流動。加載互鎖期間例如可具有介於約3-6秒的持續時間。在此調節層沉積方法期間內,反應室壓力通常介於約1-10 Torr例如介於約2-4 Torr。基板溫度可被維持在介於約180-550°C。
當使用RF電漿產生器來產生電漿時,針對在一四站反應器中受到沉積之直徑300 mm的晶圓來計算,RF功率可介於約400-3200 W如介於約100-800 W/站。功率位準的規模係與基板面積呈線性關係,因此可針對其他尺寸之基板來作增減。例如,300 mm晶圓用之500 W/站的RF功率係對應至450 mm晶圓用之約1125 W/站的RF功率。用以驅動電漿的RF頻率可具有高頻(HF)及/或低頻(LF)元件。例示性的HF RF頻率可包含但不限於介於約1.8 MHz-2.45 GHz的頻率。尋常的HF頻率包含13.56 MHz與27 MHz。例示性的LF頻率可包含但不限於介於約50-500 kHz的頻率。在某些實施例中,只使用HF頻率。在其他的實施例中,除了HF頻率外尚使用LF頻率。在其他的實施例中,只使用一LF頻率。
在目前圖4B所揭露的實施例中,在調節層方法中除了加載互鎖期間外的所有步驟期間內將氦氣提供至反應室。可以一穩定的流率提供氦氣,或其流率可依需要增加/減少。在某些實施例中,在每一步驟中氦氣係以介於約1-10 SLM如介於約4-8 SLM的流率流動。包含氦氣尤其是當液態試劑如TEOS被輸送時使氦氣流過經加熱的注射模組,可協助促進高品質調節層的形成,高品質調節層能在反應室後續用來沉積薄膜於基板上時用以避免粒子/瑕疵的形成。由於氦氣具有高熱傳導性,在TEOS霧化及輸送處理期間包含氦氣能幫助維持可降低形成冷點之可能性的均勻氣體溫度,在TEOS到達反應室之前冷點可能會導致TEOS凝結。藉著降低或避免冷點形成,TEOS較能維持霧化/氣體形式,且能獲得較高品質的調節層。
圖5顯示準備反應室並在基板上沉積薄膜之方法的流程圖。此方法始於步驟501,在此步驟處反應室受到清理以自反應室表面移除先前的沉積材料。此清理方法可經由電漿清理、濕式清理或其組合來完成,後面會有進一步的討論。可使用任何適當的反應室清理方法。在某些實施例中,反應室自潔淨狀態開始,則毋需步驟501。接下來,在步驟503處根據圖1與2的方法沉積一或多層調節層(如一披覆下層膜及/或一預披覆層)。調節層係在無基板存在的反應室中沉積。在形成一或多層調節層後,於步驟505處可將基板提供至反應室。
步驟507-513係關於沉積薄膜至基板上。沉積例如可經由CVD反應或ALD反應進行。當期望使用CVD反應時,第一反應物(如TEOS)與選擇性的共反應物(如氧氣)係以部分同時間的方式流入反應室中,並在反應物流入反應室時同時將反應室曝露至電漿。換言之,步驟507、509與511之間有時序重疊。雖然此些步驟可能不會同時開始與結束,但至少有一段期間他們會同時進行。當未使用共反應物時,步驟507與511間有一段重覆的時序。另一種可能性是經由ALD反應將材料沉積至基板上。在此種情況下,步驟507與511可以循環方式進行俾以在步驟511電漿擊發之前於步驟407中將第一反應物輸送至反應室。在各種情況中,可在步驟507後及步驟511前吹淨反應室以自反應室移除未吸附的第一反應物。如前所述,在步驟509處可以循環方式或可以連續方式提供共反應物。
在某些實施例中,除了在專用的吹淨步驟期間可能使用氦氣外,在基板上沉積的期間內實質上無氦氣會被提供至反應室。本文中所用的「實質上無氦氣」一詞代表微量或更少的氦氣。在其他的實施例中,在沉積期間可提供氦氣作為一部分之源氣體。在源氣體中包含氦氣(例如和TEOS或其他液態試劑一起)在使用高液態試劑流率(如在TEOS霧化前量測大於約10 mL/min)時是有用的。在美國專利US 7,926,376中更進一步地說明在源氣體中使用氦氣,將其所有內容包含於此作為參考。在使用較低液態試劑流率的實施例中,可自源氣體省略氦氣。當以低TEOS流率在基板上沉積時可能期望省略源氣體中的氦氣,因為氦氣在此些低TEOS流率時只能提供最少的沉積好處且因為氦氣相當地貴。相對地,即便在低TEOS流率時氦氣也已展現出在反應室表面上形成極佳品質之調節層的結果。
接下來,在步驟513處可選擇性地吹淨反應室以移除任何未吸附的物質及副產物。用以吹淨反應室的氣體可包含氦氣。本發明人已發現,在沉積後的吹淨氣體中包含氦氣可實質上降低形成在基板上的粒子量。氦氣能協助最少化來自液態試劑(如TEOS)的殘餘物,在每一循環後此些殘餘物可在反應室與整合式的液體輸送系統(ILDS)中累積。當經由CVD反應進行基板上的沉積時,可在電漿熄滅後進行此吹淨步驟。當經由ALD反應進行基板上的沉積時,可在每一沉積循環(即在每一次電漿熄滅後)後週期性地進行此吹淨步驟。圖5中的虛線箭頭係關於使用ALD沉積的方法流程。在這之前,吹淨氣體中並未包含氦氣至少部分因為業界沒有體認到其必須性。直到非常最近,晶圓上的粒子偵測只尋找直徑至少約0.1 µm的粒子。此種尺寸下的粒子多半不會在半導體裝置中造成瑕疵問題。由於可在不使用氦氣吹淨的情況下適當地最小化或避免較大粒子的形成,因此業界沒有在吹淨氣體中包含氦氣的動機。由於氦氣相當地貴,除非氦氣真的有利或有必要否則業界不會有想要用氦氣的動機。然而,當業界不斷進步且特徵部變得愈來愈小,小粒子(例如約0.04 µm及更小)開始造成更嚴重的問題。是以,現在業界體認到其對於能最小化小粒子形成之較佳方法的需求。已顯示在吹淨氣體中包含氦氣為能夠降低此類粒子形成的一種有效方法。
在某些實施例中,使用混合配方模式將薄膜沉積於基板上。在此情況下,使用第一配方將薄膜沉積在第一數目的複數基板上,之後使用第二配方將薄膜沉積在第二數目的複數基板上,且上述所有基板皆於相同的反應室中受到沉積。在利用第一配方於複數基板上沉積後,可沉積一第二預沉積層 (即一智慧的沉積前披覆層)。智慧的沉積前披覆層例如可根據圖1與2中的方法沉積。沉積可使用相對短的時間期間(如介於約30-90秒,如約60秒)。智慧的沉積前披覆層準備反應室,使反應室能使用第二配方在複數基板上沉積材料。若兩配方使用不同的反應參數如電漿點燃條件(RF功率、RF頻率、RF曝露時間)、不同的反應物流率、不同反應物、不同壓力、不同溫度、不同電極間隙、不同時序等,將兩配方視為是不同的。具有通常技藝者有動機在相同的反應室中使用不同配方以使用單一設備沉積不同應用所用的膜層。轉換配方的能力使得反應器更穩健且能最小化購買額外昂貴製造設備的需要。
圖6A與6B顯示利用TEOS與氧氣經由CVD反應在基板上沉積材料之不同方法的時序圖。此些方法可在具有文中所述之一或多層調節層的反應室中施行。參考圖6A與6B,暖機期間(Soak period)代表任何反應物被輸送至反應室前反應室有基板存在的期間。可使惰性氣體如氦氣流入反應室中以在更進一步處理之前協助基板達到期望的溫度。TEOS延遲期間是當TEOS與氧氣開始流至反應室的時間。站點沉積時間期間(被標示為SDT)為開始電漿曝露的時間。自SDT期間的起始處至沉積後期間的終止處,反應室被曝露至電漿。在沉積後期間的起始處停止TEOS流。藉著在電漿熄滅前停止TEOS流,可最小化殘留在反應室中的剩餘TEOS的量。在吹淨期間(有時被稱為沉積後的吹淨期間),氧氣流至反應室以協助移除剩餘的 TEOS及副產物。在目前圖6B的實施例中,吹淨氣體亦包含氦氣。接下來,在加載互鎖期間內,減少或停止所有氣體流,同時基板等待經由加載互鎖機構的傳送。在加載互鎖期間尤其可停止氦氣流以節省材料與成本。在此期間內可有一或多種氣體流,例如氧氣與另一共反應物的氣體流。加載互鎖期間內所提供的氣體流係為了晶圓交換的目的(例如約0.5 Torr的壓力)。加載互鎖期間內的氣體流率可介於約3-5 SLM。
在某些實施例中,暖機期間可持續介於約8-15秒。在此期間內的氦氣流或其他氣體流的流率可介於約10-20 SLM。TEOS延遲期間可持續介於約3-10秒。在TEOS霧化之前量測到之TEOS流率可介於約0.5-2.5 mL/min例如介於約0.6-1.5 mL/min。氧氣的流率可介於約8-25 SLM。  在預披覆期間亦可維持此些TEOS與氧氣流。在某些實施例中,站點沉積時間期間可持續介於約1.5-5秒。在其他情況中,可使用超出此範圍的沉積時間。沉積後期間(當電漿仍存在但已停止TEOS流的期間)可持續介於約3-5秒。在各種情況下,於TEOS延遲期間、SDT及沉積後期間內,氦氣的流率為零或實質上為零(即只有微量)。接下來,沉積後的吹淨期間可持續介於約3-10秒。在此期間內可將反應室泵抽至一基礎壓力(例如介於約40-80 mTorr)。如圖6B中所示,吹淨氣體可包含氦氣以及一共反應物(在此例中為氧氣)。吹淨氣體的流率可介於約1-10 SLM,吹淨氣體的組成可具有介於約50-80體積%的氦氣。加載互鎖期間可持續介於約3-6秒。
在基板上的此沉積方法期間,反應室壓力通常介於約1-10 Torr例如介於約2-4 Torr。基板溫度可被維持在介於約180-550°C。
在使用RF電漿產生器產生用以在基板上沉積之電漿的情況中, 總RF功率可介於約400-3200 W,例如對於在四站沉積反應器中直徑300 mm的晶圓而言RF功率可介於約100-800 W/站。用以驅動電漿的RF頻率可具有高頻(HF)及/或低頻(LF)分量。
可實施本發明之實施例以沉積任何數目之不同類型的薄膜。雖然文中大部分的實例考慮介電材料,但文中所述的方法亦可用以形成導電薄膜及半導體材料薄膜。氮化物及氧化物為目標的介電材料,但亦可形成碳化物、碳氮化物、摻雜碳的氧化物、硼化物等。氧化物包含廣泛類型的材料,包含無摻雜的矽酸鹽玻璃(USG)及經摻雜之矽酸鹽玻璃。經摻雜之玻璃的實例包含廣大範圍的材料,其包含摻雜硼之矽酸鹽玻璃(BSG)、摻雜磷之矽酸鹽玻璃(PSG)及摻雜硼磷之矽酸鹽玻璃(BPSG)。
本文中的實施例可利用各種反應物實施。一般期望,本發明之實施例對於下列情況尤其有利:在液體反應物以氣態被輸送至反應室之前需要蒸發/霧化的情況,或更上位地說,反應物處理氣體在與冷表面/區域接觸時可能會發生凝結的情況。可受惠於本發明之實施例的例示性反應物包含但不限於:TEOS、環狀及非環狀的TEOS變化物如四甲氧基矽烷 (TMOS)、氟化之三乙氧基矽烷(FTES)、三甲基矽烷(TMS)、八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTSO)、二甲基二甲氧基矽烷 (DMDS)、六甲基二矽氮烷(HMDS)、六甲基二矽氧烷(HMDSO)、六甲基環三矽氧烷(HMCTSO)、二甲基二乙氧基矽烷(DMDEOS)、甲基三甲氧基矽烷(MTMOS)、四甲基二矽氧烷(TMDSO)、二乙烯基四甲基二矽氧烷(VSI2)、甲基三乙氧基矽烷(MTEOS)、二甲基四甲氧基二矽氧烷(DMTMODSO)、乙基三乙氧基矽烷(ETEOS)、乙基三甲氧基矽烷(ETMOS)、六甲氧基二矽烷(HMODS)、二(三乙氧基甲矽烷基)乙烷(BTEOSE)、二(三甲氧基甲矽烷基)乙烷(BTMOSE)、二甲基乙氧基矽烷(DMEOS)、四乙氧基二甲基二矽氧烷(TEODMDSO)、四(三甲基矽氧烷基)矽烷(TTMSOS)、四甲基二乙氧基二矽氧烷(TMDEODSO)、三乙氧基矽烷(TIEOS)、三甲氧基矽烷(TIMEOS)或四丙氧基矽烷(TPOS)。一般而言,其他類似的候選前驅物包含各種液態的矽氧烷(線性或環狀)及矽烷。
在某些情況中,沉積薄膜包含金屬。可被形成之含金屬薄膜的實例包含鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等的氧化物與氮化物以及元素金屬薄膜。例示性的前驅物可包含金屬烷基胺、金屬烷氧化物、金屬烷醯胺、金屬鹵化物、金屬β-二酮、金屬羰基化合物、有機金屬化合物等。適當之含金屬前驅物會包含薄膜中欲包含的期望金屬。例如,可藉著五(二甲基醯胺基)鉭與氨或其他還原劑的反應來沉積含鉭層。可使用之含金屬前驅物的其他實例包含三甲基鋁、四乙氧基鈦、四-二甲基-醯胺基鈦、四(乙基甲基醯胺)鉿、二(環戊二烯基)錳、二(正丙基環戊二烯基)鎂等。
實施例亦可與各種共反應物一起實施。共反應物通常(但非總是)為含氮或含氧的反應物。例示性的含氮共反應物包含但不限於:氨、肼、胺類(如帶碳的胺類)如甲基胺、二甲基胺、乙基胺、異丙基胺、第三丁基胺、二第三丁基胺、環丙基胺、第二丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-基胺、三甲基胺、二異丙基胺、二乙基異丙基胺、二第三丁基肼、以及含芳香族之胺類如苯胺類、吡啶類與苯甲基胺類。胺類可為一級、二級、三級或四級胺(例如四烷基氨化合物)。含氮反應物可包含非氮的異質原子,例如羥胺、第三丁基氧羰基胺與正第三丁基羥胺為含氮反應物。例示性之含氧的共反應物包含氧氣、臭氧、一氧化二氮、一氧化碳、一氧化氮、二氧化氮、氧化硫、二氧化硫、含氧的碳氫化合物 (Cx Hy Oz )、水、上述者的混合物等。
如上針對圖5所述,可在將調節層沉積於反應室上之前對反應室進行清理。可在將約10 µm總厚度之薄膜沉積於複數基板上後進行清理。本發明之實施例不限於任何特定的反應室清理方法。可使用能移除所有或實質上所有之沉積薄膜與披覆下層膜的任何方法。在下列之美國專利與專利申請案中更進一步地討論與揭露了反應室清理方法,將其所有內容包含於此作為參考:美國專利US 7,479,191;美國專利US 8,262,800;2009年1月16日申請名為“PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER”的美國專利申請案US 12/355,601;及2012年10月17日申請名為“METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS”的美國專利申請案US 13/654,303。
常用來清理沉積室的腔室清理方法大致上有兩種。此些方法包含電漿清理方法(有時被稱為乾式清理方法)與濕式清理方法。
電漿清理程序可以原位腔室清理或遠端腔室清理完成。在原位腔室清理中,將氣態之腔室清理化學品導入反應室中,在反應室內使用電漿激發以將腔室清理化學品解離為反應自由基與離子。在遠端清理中,在反應室外部使用獨立的電漿源將氣態的腔室清理化學品解離為具有強反應性的自由基與離子,接著將已解離的腔室清理化學品導入反應室中。在原位與遠端兩種腔室清理中,腔室中的反應性物種與累積的沉積薄膜和披覆下層膜反應,形成可自腔室排空的氣態產物。在許多情況中,腔室清理化學品包含含氟物種如NF3 ,含氟物種可選擇性地與惰性氣體如He或Ar混合。當使用含氟清理化學品時,存在於腔室中的SiO2 可被轉換為SiF4 ,SiF4 為揮發性物質且可藉著吹淨(例如掃除及/或排空)反應室而被移除。
在多次電漿清理循環後,基板沉積處理可能會退化因此可使用一濕式清理。在濕式清理程序中,將反應室排空至大氣壓,利用適當的清理溶液(如異丙醇(IPA)及/或水)及/或研磨劑物理擦洗反應室的內表面。濕式清理能去除電漿清理方法無法有效清理的厚且碎的累積物。此類的清理程序很耗時、耗人工且在完成後可能需要重新調節反應室。例如,濕式清理方法可移除在披覆下層膜下方的氟化鋁層。是以,慬慎節約地使用濕式清理方法但情況允許時便可使用電漿清理方法。設備
文中所述的方法可以任何適當的設備施行。適當的設備包含用以完成處理步驟的硬體以及具有用以根據本發明控制處理步驟之指令的系統控制器。在某些實施例中,硬體可包含位於一處理設備中的一或多個處理站。
圖7顯示在使用TEOS與共反應物如氧氣沉積的文義中所使用之經加熱的注射模組700的簡圖。經由導管702輸送氦氣與選擇性的共反應物。氦氣與共反應物通常會被加熱至介於約80-180°C如約150°C。經由一或多個導管如圖7中所示的導管705A與704B導入TEOS。通過此些導管705A與704B 的TEOS被加壓至例如介於約25-55 psi。在一實例中TEOS被加壓至約25 psi。當TEOS流入經加熱的注射模組700時會通過極細的孔口(未顯示)。在通過孔口的饋入TEOS與經加熱的注射模組700的內部之間有實質上的壓力差。此壓力差和熱氦氣與共反應物的存在使TEOS霧化成極細小的微粒。在此狀態下, TEOS被氦氣與共反應物經由導管706而帶離經加熱的注射模組700。霧化的TEOS在受到加熱的導管706中蒸發。或者或額外地,TEOS可在進入經加熱的注射模組時閃蒸(flash vaporize)。導管706可引導至反應室。在此霧化過程中包含氦氣能協助確保導引至反應室的導管706以及導管706中的氣體維持在一個穩定均勻的溫度。
蒸發液態試劑的一個替代性方法為使用發泡劑(bubbler)。利用此技術,載氣(例如氦氣或氦氣與共反應物的混合氣體)發泡通過容納了液態試劑之容器。可加熱液態試劑及/或載氣以協助蒸發。當泡泡行經液態試劑時,液態試劑的部分量蒸發並被載氣帶走。此發泡劑方法需要大體積的載氣以蒸發充分量的液態試劑。相對地,當使用經加熱的注射模組時,蒸發/霧化係更加有效因此需要實質上較少的載氣。
圖8概略地顯示反應室處理站800的實施例。為了簡化,處理站800被繪示成具有用以維持低壓環境之處理室體802的一獨立運行的處理站。然而應瞭解,可將複數個處理站800包含至一個共同的處理設備環境中。例如,圖9顯示一個多站處理設備的實施例。又,應明白,在某些實施例中,可藉由一或多個電腦控制器以程式化的方式調整處理站800的一或多個硬體參數包含上面所討論的參數。
處理站800係與用以輸送處理氣體至分散噴淋頭806的反應物輸送系統801流體交流。反應物輸送系統801包含混合容器804,混合容器804係用以混合及/或調整欲輸送至噴淋頭806的處理氣體。一或多個混合容器入口閥820可控制導入混合容器804的處理氣體。
某些反應物在蒸發並接著被輸送至處理站之前可以液態形式儲存。圖8之實施例包含蒸發點803,蒸發點803係用以蒸發欲供給至混合容器804的液態反應物。蒸發點803可例如是圖7中所示之經加熱的注射模組。在某些實施例中,蒸發點803可以是經加熱的蒸發器。當未在適當位置進行適當控制時(例如在蒸發/霧化液體反應物時未使用氦氣的情況),自此類蒸發器所產生之飽和的反應物蒸氣可能會在下游的輸送管線中凝結。不匹配的氣體曝露至已凝結的反應物可能會產生小粒子。此些小粒子可堵塞管線、阻礙閥件運作、污染基板等。解決此些問題的某些方法涉及掃除及/或排空輸送管線以移除殘餘的反應物。然而,掃除輸送管線可能會增加處理站的周期時間,降低處理站的產量。是以,在某些實施例中,可熱追蹤蒸發點803下游的輸送管線。在某些實例中,亦可熱追蹤混合容器804。在一非限制性實例中,蒸發點803下游的管線具有一增加的溫度輪廓,此溫度輪廓自約100°C延伸至混合容器804處的約150°C。
如上所述,在某些實施例中,蒸發點803為一經加熱的液態注射器。液體注射器可將液體反應的脈衝注射至混合容器上游的載氣流中。在一情境中,液體注射器可藉著瞬間使液體自一較高壓力進入到一較低壓力而使反應物蒸發。在另一情境中,液體注射器可將液體霧化為分散的微粒,分散的微粒後續會在經加熱的輸送管線中蒸發。應瞭解,較小的粒珠比較大的粒珠更快蒸發,因此能降低液體注射與完全蒸發之間的延遲。更快蒸發可減少蒸發點803下游管線的長度。在一情境中,液體注射器可直接設置至混合容器804。在另一情境中,可將液體注射器直接設置至噴淋頭806。
在某些實施例中,可在蒸發點803的上游處設置液流控制器以控制蒸發並被輸送至處理站800之液體的質量流量。例如,液流控制器(LFC)可包含LFC下游處的熱質量流量計(MFM)。可調整LFC的柱塞閥以回應線性-積分-微分(PID)控制器所提供的反饋控制訊號,線性-積分-微分(PID)控制器係與MFM電性交流。然而,利用反饋控制可能需要一秒或更久才能穩定液流。這可能會延長了液反應物的劑量時間。是以在某些實施例中,LFC可動態地在反饋控制模式與直接控制模式之間切換。在某些實施例中,LFC可藉著使LFC的感測管與PID控制器失能而動態地自反饋控制模式切換至直接控制模式。
噴淋頭806將處理氣體朝向基板812分散。在圖8的實施例中,基板812係位於噴淋頭806下方且被支撐於座臺808上。應明白,噴淋頭806可具有任何適當的形狀且可具有任何適當數目與配置之用以將處理氣體分散至基板812的接口。
在某些實施例中,微體積807係位於噴淋頭806下方。在微體積中進行CFD處理而非在處理站的整個體積中進行CFD處理可減少反應物曝露與掃除時間、可減少轉換處理條件(如壓力、溫度等)的時間、可限制處理站機器人曝露至處理氣體等。例示性的微體積尺寸包含但不限於介於0.1升至2升的體積。
在某些實施例中,可舉升或下降座臺808以將基板812曝露至微體積807及/或改變微體積807的體積。例如,在基板傳送階段中,可下降座臺808使基板812能被載至座臺808上。在沉積於基板上的處理階段期間,可舉升座臺808將基板812放置到微體積807內。在某些實施例中,微體積807可完全包圍基板812以及部分的座臺808以在沉積處理期間產生一個高流動阻抗的區域。
選擇性地,可在部分的沉積處理期間下降及/或舉升座臺808,以調變微體積807內的處理壓力、反應物濃度等。在處理室體802於處理期間維持在基礎壓力的情境中,降低座臺808可讓微體積807被排空。微體積對處理室體積的例示性比值包含但不限於介於1:500至1:10的體積比。當瞭解,在某些實施例中,藉著適當電腦控制器以程式化的方式可調整座臺高度。
在另一情境中,調整座臺808的高度可使沉積處理所包含的電漿活化及/或處理循環期間的電漿密度受到改變。在完成沉積處理階段時,可在另一基板的傳送階段期間降低座臺808以自座臺808移除基板812。
雖然在本文中所述的例示性微體積變化係對應至高度可調變的座臺,但應瞭解,在某些實施例中可調整噴淋頭806相對於座臺808的位置以變化微體積807的體積。又,應瞭解在本發明的範疇內可藉由任何適當的機構變化座臺808及/或噴淋頭806的垂直位置。在某些實施例中,座臺808可包含用以旋轉基板812之位向的旋轉軸。應明白在某些實施例中,可藉由一或多個適當的電腦控制器以程式方式進行此些例示性調整的一或多者。
回到圖8所示之實施例,噴淋頭806與座臺808係與用以供給能量至電漿的RF電源814和匹配網路816電交流。在某些實施例中,電漿能量可藉由控制下列的一或多者而加以控制:處理站壓力、氣體濃度、RF電源、RF電源瀕率及電漿功率脈衝時序。例如,RF電源814與匹配網路816可在任何適當的功率下操作以形成具有期望組成之自由基物種的電漿。適當功率的實例係包含於上。類似地,RF電源814可提供任何適當頻率的RF功率。在某些實施例中,RF電源814 可用以控制高頻與低頻RF電源使兩者彼此獨立地受到控制。低頻RF的例示性頻率可包含但不限於介於50 kHz至500 kHz的頻率。高頻RF的例示性頻率可包含但不限於介於1.8 MHz至2.45 GHz的頻率。應瞭解,可離散地或持續地調變任何適當的參數以提供表面反應用的電漿。在一非限制性實例中,相對於連續供應能量的電漿,可間歇性地脈動電漿功率以降低對基板表面的離子轟擊。
在某些實施例中,可藉由一或多個電漿監測器原位監測電漿。在一情境中,可藉由一或多個電壓、電流感測器(如VI探針)監測電漿功率。在另一情境中,可藉由一或多個發射光譜感測器(OES)量測電漿密度及/或處理氣體濃度。在某些實施例中,可基於來自此類原位電漿監測器的量測值以程式方式調整一或多個電漿參數。例如,一OES感測器可被用於用以提供電漿功率之程式控制的反饋迴路中。應瞭解,在某些實施例中,可使用其他監測器來監測電漿與其他處理特性。此類監測器可包含但不限於紅外線(IR)監測器、聲學監測器及壓力傳感器。
在某些實施例中,可藉由輸入/輸出控制(IOC)序列指令來控制電漿。在一實例中,可將用以設定電漿活化用之電漿條件的指令包含於處理配方的對應電漿活化配方階段中。在某些情況中,可依序配置複數處理配方階段,俾使一處理階段的所有指令能與該處理階段同時執行。在某些實施例中,可將用以設定一或多個電漿參數的指令包含於電漿處理階段之前的一配方階段中。例如,第一配方階段可包含用以設定惰性氣體(如氦氣)及/或反應物氣體的流率的指令、用以將電漿產生器設定至一功率設定點的指令及第一配方階段用的時間延遲指令。第二接續配方階段可包含用以致能電漿產生器的指令以及第二配方階段用的時間延遲指令。第三配方階段可包含用以致能電漿產生器的指令及第三配方階段用的時間延遲指令。當明白,在本發明的範疇中此些配方階段可以任何適當的方式被進一步地分割及/或反復。
在某些情況中,該控制器可具有在清理循環後及/或在基板上沉積前用以在反應室表面上沉積調節層的複數指令。該控制器亦可具有利用第一配方在基板上沉積薄膜後且利用第二配方在基板上沉積薄膜前用以在反應室表面上沉積第二預披覆層的複數指令。用以沉積調節層的複數指令可包含文中所述的任何處理步驟。例如,該些指令可包含:使液態試劑與氦氣以特定流率流入經加熱的注射模組中以霧化/蒸發液態試劑以及使蒸發的蒸氣流至反應室。該控制器亦可具有在沉積調節層的期間內及/或在基板上沉積的期間內用以提供吹淨氣體的指令,其中吹淨氣體包含文中所述的氦氣。
在某些沉積處理中,電漿擊發持續數秒或更久等級的時間。在本文的某些實施例中,在處理循環內可施用更加較短的電漿擊發。此些電漿擊發可持續50 ms至1秒等級的時間,例如0.25秒的特定例示性時間。此類短 RF電漿擊發需要電漿的快速穩定。為了達到此目的,可配置電漿產生器俾使阻抗匹配被預設在一特定電壓但允許頻率浮動。傳統上,高頻電漿係於約13.56 MHz的RF頻率下產生。在本文所述的各種實施例中,允許頻率浮動至不同於此標準值的值。藉著允許頻率浮動但將阻抗匹配固定在一預設電壓,可更加快速地穩定電漿,當使用與ALD/CFD循環相關的極短電漿擊發時此結果可能是重要的。
在某些實施例中,座臺808可藉由加熱器810控制其溫度。又,在某些實施例中,處理站800的壓力控制可藉由蝶閥818所提供。如圖8的實施例所示,蝶閥818壓抑下游真空泵浦(未顯示)所提供的真空。然而,在某些實施例中,處理站800的壓力控制亦可藉由變化導入處理站800之一或多種氣體的流率來加以調整。
處理站800的內部表面受到調節層850的披覆,調節層850可包含披覆下層膜及/或沉積前披覆層。可被調節層披覆之複數表面的實例包含反應室壁802、反應室頂板與地板、座臺808及噴淋頭806。雖然圖8顯示一基板812位於處理站800中,但在沉積一或多層調節層的期間基板812並不存在。相反地,基板812係於沉積調節層之後當處理站800已準備好用於在基板812上沉積薄膜時才導入處理站800中。
如前所述,可將一或多個處理站包含在一多站處理設備中。圖9顯示具有入口加載互鎖機構902與出口加載互鎖機構904之多站處理設備900之一實施例的概圖,入口加載互鎖機構902與出口加載互鎖機構904的其中一者或兩者可包含遠端電漿源。大氣壓力下的機器人906係用以移動來自晶圓盒的複數晶圓,晶圓係經由艙908藉由大氣接口910而被載入入口加載互鎖機構902中。機器人906將晶圓放置到入口加載互鎖機構902中的座臺912上,大氣接口910關閉,然後加載互鎖機構被泵抽。在入口加載互鎖機構902包含遠端電漿源的情況下,晶圓在被導入處理室914前可被曝露至加載互鎖機構中的遠端電漿處理。又,晶圓亦可在入口加載互鎖機構902中受到加熱以例如移除水氣及被吸附的氣體。接下來,腔室傳送接口916對處理室914開放,另一機器人(未顯示)將晶圓放置到反應器中被顯示為處理用之反應器中之第一站的座臺上。雖然圖9所示的實施例包含加載互鎖機構,但應瞭解,在某些實施例中,可將晶圓直接導入處理站中。
所示之處理室914包含四個處理站,這四個處理在圖9的實施例中被標號為1至4。每一站具有一經加熱的座臺(顯示於站1的918處)以及複數氣體線入口。應瞭解,在某些實施例中,每一處理站可具有不同或複數的用途。例如,在某些實施例中,一處理站可在ALD模式、CFD模式與CVD處理模式之間切換。額外地或或者,在某些實施例中,處理室914可包含一或多個匹配成對的ALD/CFD/CVD複數處理站。雖然所示的處理室914包含四個站,但當瞭解,根據本發明的處理室可具有任何適當數目的站點。例如,在某些實施例中,一處理室可具有五或更多的站點,在其他的實施例中一個處理室可具有三或更少的站點。
圖9亦顯示在處理室914中用以傳送晶圓之晶圓搬運系統990的一實施例。在某些實施例中,晶圓搬運系統990可在各種處理站點之間及/或在一處理站與加載互鎖機構之間傳送晶圓。應瞭解,可使用各種適合的晶圓搬運系統。非限制性的實例包含晶圓傳送盤與晶圓搬運機器人。圖9亦顯示用以控制處理設備900之處理條件與硬體狀態之系統控制器950的一實施例。系統控制器950可包含一或多個記憶體裝置956、一或多個大量儲存裝置954及一或多個處理器952。處理器952可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進機馬達控制器板等。
在某些實施例中,系統控制器950控制處理設備900的所有活動。系統控制器950執行被儲存在大量儲存裝置954中、被載入記憶體裝置956中並在處理器952上執行的系統控制軟體958。系統控制軟體958可包含用以控制下列者的複數指令:時序、氣態與液態反應物的混合物、反應室及/或站點的壓力、反應室及/或站點的溫度、晶圓溫度、目標的功率位準、RF功率位準、RF曝露時間、基板座臺、夾頭及/或支撐件的位置及處理設備900所進行之特定處理的其他參數。此些程式化的處理可包含各種類型的處理,其包含但不限於:和沉積披覆下層膜相關的處理、和在基板上沉積薄膜相關的處理以及和清理反應室相關的處理。系統控制軟體958可以任何適當的方式配置。例如,可撰寫各種處理設備元件的子程式或控制物件以控制施行各種處理設備處理所需之處理設備元件的操作。可以任何適當的電腦可讀程式語言編碼系統控制軟體958。
在某些實施例中,系統控制軟體958可包含用以控制上述各種參數的輸入/輸出(IOC)序列指令。例如,調節層沉積處理的每一階段可包含用以被系統控制器950執行的一或多個指令。用以設定調節層沉積處理階段之處理條件的複數指令可被包含於對應的調節層沉積配方階段中。在某些實施例中,可依序配置複數配方階段俾使一處理階段的所有指令係與該處理階段同時執行。
在某些實施例中,可施行被儲存在與系統控制器950相關之大量儲存裝置954及/或記憶體裝置956上的其他電腦軟體及/或程式。此目的用之程式或程式段落的實例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式及電漿控制程式。
基板定位程式可包含處理設備元件用的程式碼,此些程式碼係用以將基板載至座臺918上並控制基板與處理設備900之其他部件之間的距離。定位程式可包含複數指令,此些指令係用以在必要時適當地將基板移入與移出反應室以調節層、在基板上沉積薄膜以及清理反應室。此些指令包含用以確保在沉積調節層的期間內以及在清理處理的期間內反應室中無基板存在的複數指令。
處理氣體控制程式可包含複數指令,此些指令係用以控制氣體組成與流率並選擇性地控制在沉積前流入一或多個處理站的氣體以穩定處理站中的壓力。在某些實施例中,處理氣體控制程式包含複數指令,此些指令係用以在形成調節層至反應室上的期間導入特定氣體,並在形成薄膜至反應室中之基板上的期間導入相同或相異的氣體。處理氣體控制程式亦可包含複數指令,此些指令係用以在形成調節層的期間內以及在沉積薄膜至基板上的期間內以相同流率持續輸送此些氣體相同的時間。處理氣體控制程式可包含複數指令,此些指令係用以在經加熱的注射模組中於氦氣存在時霧化/蒸發液體反應物。
壓力控制程式可包含程式碼,此些程式碼藉由調節例如處理站之排放系統中的節流閥而控制處理站中的壓力、控制流入處理站的氣體流等。壓力控制程式可包含複數指令,此些指令係用以在沉積調節層至反應室上的期間內以及在沉積薄膜至基板上的期間內維持相同壓力。
加熱器控制程式可包含複數指令,此些指令係用以控制流至用以加熱基板之加熱單元的電流。或者或額外地,加熱器控制程式可控制輸送至基板之加熱傳輸氣體(如氦氣)的輸送。加熱器控制程式可包含複數指令,此些指令係用以在沉積調節層的期間內以及在沉積薄膜至基板上的期間內將反應室中及/或基板支撐件維持在相同溫度。
電漿控制程式可包含程式碼,程式碼根據文中所述的實施例設定一或多個處理站中的RF功率位準、頻率與曝露時間。在某些實施例中,電漿控制程式可包含複數指令,此些指令係用以在沉積調節層至反應室上的期間內以及在沉積薄膜至基板上的期間內使用相同的RF功率位準及/或頻率及/或曝露時間。
在某些實施例中,可具有與系統控制器950相關的使用者介面。使用者介面可包含顯示螢幕、該裝置及/或處理條件的圖形化軟體顯示及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕、麥克風等。
在某些實施例中,系統控制器950所調整的參數可與處理條件相關。非限制性實例包含處理氣體組成與流率、溫度、壓力、電漿條件 (如RF偏壓功率位準與曝露時間)等。此些參數可以配方的形式提供予使用者,使用者可利用使用者介面來加以輸入此些參數。
用以監測處理的訊號可來自各種處理設備感測器並藉由系統控制器950的類比及/或數位輸入連接件所提供。用以控制處理的訊號可在處理設備900的類比與數位輸出連接件上輸出。可被監控之處理設備感測器的非限制性實例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化的反饋與控制演算法可與來自此些感測器的數據一起使用以維持處理條件。
系統控制器950可提供用以實施上述沉積方法的程式指令。程式指令可控制各種不同的處理參數如DC功率位準、RF偏壓位準、壓力、溫度等。指令可根據文中的各種實施例控制參數以進行薄膜堆疊的原位沉積。
系統控制器通常包含一或多個記憶體裝置以及一或多個用以執行指令的處理器,俾使設備能施行根據本發明之方法。可使包含用以控制根據本發明之方法步驟之指令的機器可讀非暫態媒體和系統控制器耦合。
上文中所述的各種硬體與方法實施例可與微影圖案化設備或處理一起使用,例如用以製造半導體裝置、顯示器、LEDs、光伏面板等的微影圖案化設備或處理。一般而言,雖然沒有必要,但此些設備/處理會在一共同的製造廠房中一起使用或進行。
薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至工作件上如其上形成有氮化矽膜的基板上;(2)利用熱板、爐管或其他適合的固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽或噴塗顯影設備顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。在某些實施例中,在施加光阻之前可沉積可灰化的硬遮罩層(如非晶碳層)及另一適合的硬遮罩(如抗反射層)。
當瞭解,文中所述的結構及/或方案皆具有例示性的本質,特定的實施例或實例不應被認為是限制性的,因為可對其作各種變化。文中所述的特定例行公事或方法可代表任何數目之處理策略中的一或多者。是以,所述的各種動作可以所述的順序實施、以其他順序實施、同時實施或在某些情況下省略之。類似地,可改變上述處理的順序。
本發明的標的包含各種方法、系統與結構的所有新穎與非顯而易見組合,以及文中所述的其他特徵、功能、動作及/或特性以及上述者的任何與所有等效物。實驗
實驗結果顯示,在形成披覆下層膜以及沉積後吹淨期間包含高熱傳導氣體如氦氣可實質上減少形成在基板上的非所欲粒子。
在一實驗中,在三個不同的情境下於單一沉積室中施行不同基板沉積配方(A與B)。在基本情境中,在形成調節層期間未提供氦氣,且在處理A或處理B之沉積後吹淨的期間內未提供氦氣。在第二情境中(方案2的行),在形成調節層期間提供氦氣,但在處理A或處理B之沉積後吹淨的期間內未提供氦氣。在第三情境中(方案3的行),在形成調節層期間提供氦氣,且在處理A與處理B兩者之沉積後吹淨的期間內皆提供氦氣。在此些實驗中所沉積的調節層為沉積至厚度約1 µm 之TEOS系的二氧化矽。相較於其他情況,為了維持吹淨氣體的均勻流率,第三情境使用50/50之He與O2 的混合氣體且每一種氣體係以4000 sccm的流率輸送而非是用前兩個情境中所用之8000 sccm氧氣吹淨。
相較於處理B,處理A係於較高的TEOS流率下進行。又,處理A持續進行直到膜厚約為150 Å,但處理B持續進行直到膜厚約為100 Å。此些處理係以混合配方模式的方式進行,俾使反應室週期性地在處理A與處理B之間切換。在某些情況中,在切換之前僅利用每一個配方處理單一片晶圓。在其他情況中,在切換之前利用每一個配方處理實質上多片晶圓。在反應器中進行一個新的配方之前,將第二預沉積層施加至反應室表面以協助針對新配方調整反應室。
圖10中的列1係關於在沉積調節層期間是否使用氦氣。列2-6對應到沉積基板期間所用的條件。列2係關於吹淨時間與加載互鎖時間。吹淨與加載互鎖期間會和針對圖6A 與6B所述者相關。列3與4係關於在沉積後的吹淨期間內氧氣與氦氣分別的流率。沉積後的吹淨係對應至圖6A與6B的吹淨期間。列5係關於在加載互鎖時間期間內的氧氣流率。列6報導了在高累積位準(例如約10 µm)時尺寸至少為0.04 µm之粒子的新增粒子的中位數。
如在圖10中所見,在調節層沉積期間內或在沉積後之吹淨期間內未使用氦氣的基本處理得到最高數目的粒子(中位數為34)。藉著在形成調節層時包含氦氣,偵測到之粒子的中位數下降到22。又,當在調節層沉積期間內及在沉積後之吹淨期間內皆使用氦氣時,沉積在基板上時所偵測到的粒子的中位數下降到10。從此數據可清楚瞭解,氦氣在兩個所述的步驟中都是有利的。又,方案3的粒子效能好到足以讓混合配方在單一設備上進行而不會產生嚴重的瑕疵問題。
圖11-16顯示使用兩個 PECVD配方在基板上沉積氧化矽薄膜之實驗的數據。兩個不同的配方被稱為處理A與處理B。此些配方在單一配方模式與混合配方模式兩種模式下進行。換言之,有時使用單一配方連續沉積許多基板(單一配方模式),但其他時間更頻繁地改變配方(混合配方模式)。薄膜被沉積在總共約8,500 基板上。每次使用一新配方將薄膜沉積至基板上之前,將一第二沉積前披覆層沉積至反應室表面上。針對每個配方的進行,使用第一片晶圓、中間晶圓及卸載晶圓來收集數據。在基板上偵測約0.04 µm及更大的粒子。在每一配方進行期間早期處理的晶圓(即第一片晶圓,或在切換配方前只處理單一片基板的情況中為單一晶圓)展現出最高位準的粒子形成。在配方進行期間較晚受到處理的中間晶圓及卸載晶圓展現出較少且穩定狀態位準的粒子形成。
圖11中圖左部中的數據係關於下列條件的基本方法:在反應室中進行處理A與處理B且處理A與B在沉積後的吹淨期間內未包含氦氣,其中反應室具有未使用氦氣所形成的披覆下層膜。圖右部中的數據係關於下列條件的改良式方法:在反應室中進行處理A與處理B且處理A與B在沉積後的吹淨期間內包含氦氣,其中反應室具有在使用氦氣的情況下所形成的披覆下層膜。在改良式方法中所使用的條件係與圖10之方案3所使用的條件相同。圖11中的x軸代表受到處理之晶圓的處理順序(第一片被處理的晶圓為1),y軸代表在沉積後於基板上偵測到的粒子數目。在圖11(及圖14與15)中部分地向上延伸的垂直虛線代表進行遠端電漿清理的情況。如上所述,遠端電漿清理可自反應室表面移除沉積材料。在每一遠端電漿清理後沉積一新的調節層。
明顯地如圖11所示,使用氦氣的改良式方法導致遠遠較少的粒子沉積在基板上。改良式的方法不會造成具有高瑕疵位準的任何基板。所有利用改良式氦氣方法處理的基板都具有少於20顆之偵測到的粒子。 相反地,基本方法在整體上展現出較高位準的粒子形成,且有幾片基板展現出極高位準的粒子形成(例如約70個粒子)。
圖12所顯示的表係關於,在圖11所述之8500片基板的實驗期間針對處理A與處理B於基板上偵測到0.04 µm與0.08 µm之粒子的最大與中位數。相較於基本處理,改良式的方案3處理得到在基板上偵測到之粒子的較低最大與中位數。對於兩個沉積配方處理A與處理B而言以及對於兩種粒子尺寸0.04 µm與0.08 µm而言皆為真。
圖13顯示在基本方案(上部)下處理之基板以及在改良式方案3(下部)下處理之基板之0.04 µm粒子的瑕疵映射圖,其中在改良式方案3中於沉積調節層期間內及沉積後的吹淨期間內使用氦氣。利用氦氣處理的基板顯示實質上較少的瑕疵。
圖14顯示上述圖11-13所處理的8500片基板期間沉積在基板上的薄膜厚度。如所示,處理A進行到約100Å的目標厚度,處理B進行到約150Å的目標厚度。類似於圖11,圖左部中的數據對應至基本處理,圖右部中的數據對應至使用方案3 條件之改良式氦氣方法。基本方法與改良式方案3方法之間無明顯的厚度效能變化。
圖15顯示上述圖11-13所處理的8500片基板期間所處理之基板的1σ晶圓內厚度非均勻性。基本處理與使用氦氣之方案3處理的厚度非均勻性不相上下。
圖16之表總結圖14與15中所示之厚度與厚度非均勻性結果。基本處理與使用氦氣之改良式方案3處理在厚度與厚度非均勻性兩項特性上不相上下。
圖17以箱鬚圖繪示在以兩種不同的處理方案處理之基板上偵測到的粒子數目。只偵測0.04 µm及更大的粒子。在基本方案中,在沉積調節層期間內未使用氦氣且未使用氦氣作為沉積後之吹淨氣體的一部分。在改良式方案中,在形成調節層期間內以及在沉積後的吹淨期間內皆使用氦氣。改良式方案的處理條件係與圖10-16之方案3的處理條件相同。使用兩個不同的沉積配方,包含處理A與處理B。 利用混合配方模式收集數據。換言之,在反應室中頻繁地切換配方,在配方切換後立即處理或不久後處理的基板上收集數據。每一次切換配方時,如文中其他實驗所進行,在反應室表面上沉積一新的調節層 (第二預沉積層)。改良式的方案對於處理A與處理B而言展現出在基板表面上偵測到實質上較少的粒子。
總言之,實驗結果顯示在形成反應室調節層期間在用以蒸發TEOS的處理氣體中包含氦氣能減少在反應室中受到處理之基板上偵測到的粒子數量。結果亦顯示在吹淨氣體中包含氦氣能進一步地減少在反應室中受到處理之基板上偵測到的粒子數量。此些變化的進行並不會實質上影響沉積薄膜的厚度與厚度非均勻性。
101‧‧‧使液態試劑流入經加熱的注射模組中
103‧‧‧使氦氣流入經加熱的注射模組中
105‧‧‧於經加熱的注射模組中在氦氣的存在下霧化液態試劑以形成一源氣體
107‧‧‧使源氣體流入無基板存在的反應室中
109‧‧‧選擇性地使共反應物流入無基板存在的反應室中
111‧‧‧在源氣體持續流動時將無基板存在的反應室曝露至電漿,以經由CVD系之反應在反應室的表面上沉積調節層
201‧‧‧使液態試劑流入經加熱的注射模組中
203‧‧‧使氦氣流入經加熱的注射模組中
205‧‧‧於經加熱的注射模組中在氦氣的存在下霧化液態試劑以形成一源氣體
207‧‧‧使源氣體流入無基板存在的反應室中,讓源氣體得以吸附在反應室的表面上
209‧‧‧選擇性地使共反應物流入無基板存在的反應室中,讓共反應物得以吸附在反應室的表面上
211‧‧‧將無基板存在的反應室曝露至電漿,以經由ALD系之反應在反應室的表面上沉積調節層
300‧‧‧反應室
302‧‧‧噴淋頭
304‧‧‧底座
306‧‧‧披覆下層膜
308‧‧‧支撐柱
331‧‧‧基板載環
350‧‧‧遠端電漿產生器
351‧‧‧入口
501‧‧‧清理反應室以自反應室表面移除先前沉積的材料
503‧‧‧根據圖1及/或2的方法在反應室中無基板時沉積一或多層調節層
505‧‧‧將一基板提供至反應室
507‧‧‧使第一反應物流入反應室中
509‧‧‧選擇性地使共反應物流入反應室中
511‧‧‧擊發電漿藉此在基板上沉積材料
513‧‧‧選擇性地利用包含氦氣的吹淨氣體吹淨反應室
700‧‧‧經加熱的注射模組
702‧‧‧導管
704B‧‧‧導管
705A‧‧‧導管
706‧‧‧導管
800‧‧‧反應室處理站
801‧‧‧反應物輸送系統
802‧‧‧處理室體
803‧‧‧蒸發點
804‧‧‧混合容器
806‧‧‧分散噴淋頭
807‧‧‧微體積
808‧‧‧座臺
810‧‧‧加熱器
812‧‧‧基板
814‧‧‧RF電源
816‧‧‧匹配網路
818‧‧‧蝶閥
850‧‧‧調節層
900‧‧‧多站處理設備
902‧‧‧入口加載互鎖機構
904‧‧‧出口加載互鎖機構
906‧‧‧機器人
908‧‧‧艙
910‧‧‧大氣接口
912‧‧‧座臺
914‧‧‧處理室
918‧‧‧站
950‧‧‧系統控制器
952‧‧‧處理器
954‧‧‧儲存裝置
956‧‧‧記憶體裝置
958‧‧‧系統控制軟體
990‧‧‧晶圓搬運系統
圖1顯示經由化學氣相沉積反應在反應室上形成調節層之方法的流程圖。
圖2顯示經由原子層沉積反應在反應室上形成調節層之方法的流程圖。
圖3顯示具有調節層於其上之反應室的簡圖。
圖4A與4B顯示沉積調節層於反應室上之其他方法的時序圖。
圖5顯示用以準備反應室並在基板上沉積薄膜之方法的流程圖。
圖6A與6B顯示在基板上沉積薄膜之其他方法的時序圖。
圖7顯示經加熱的注射模組的簡圖。
圖8顯示被用來實施本發明之實施例的反應室。
圖9顯示根據某些實施例之叢集式設備。
圖10之表顯示反應條件以及不同處理條件下基板上之粒子形成程度。
圖11顯示在兩種不同的處理方案下在基板上偵測到的粒子數目。
圖12之表總結圖11中所示的數據。
圖13繪示以兩種不同的處理方案處理之基板的各自瑕疵映射圖。
圖14顯示以兩種不同的處理方案沉積之薄膜的膜厚。
圖15顯示以兩種不同的處理方案沉積之薄膜的膜厚非均勻性。
圖16之表總結圖14與15中所示之數據。
圖17繪示在以兩種不同的處理方案處理之基板上觀察到的粒子數目。
300‧‧‧反應室
302‧‧‧噴淋頭
304‧‧‧底座
306‧‧‧披覆下層膜
308‧‧‧支撐柱
331‧‧‧基板載環
350‧‧‧遠端電漿產生器
351‧‧‧入口

Claims (20)

  1. 一種用以在複數基板上沉積薄膜之反應室的準備方法,包含: 使一液態試劑流入一經加熱的注射模組中; 在該經加熱的注射模組中於氦氣存在的情況下霧化該液態試劑以產生包含經霧化之該液態試劑與氦氣的一源氣體; 使該源氣體自該經加熱的注射模組流入該反應室中;及 使該反應室曝露至電漿以在無基板存在的該反應室的複數表面上自該源氣體沉積一薄膜。
  2. 如申請專利範圍第1項之用以在複數基板上沉積薄膜之反應室的準備方法,其中該液態試劑為TEOS,且其中該TEOS係以小於約10 mL/min的流率流入該經加熱的注射模組中。
  3. 如申請專利範圍第2項之用以在複數基板上沉積薄膜之反應室的準備方法,其中該源氣體包含氧氣。
  4. 如申請專利範圍第1項之用以在複數基板上沉積薄膜之反應室的準備方法,其中該源氣體包含介於約40-80體積%的氦氣。
  5. 如申請專利範圍第1-4項中任一項之用以在複數基板上沉積薄膜之反應室的準備方法,其中使該源氣體流入該反應室的步驟及將該反應室曝露至該電漿的步驟係至少部分地同時進行,藉此經由一化學氣相沉積氣相反應沉積該薄膜。
  6. 如申請專利範圍第1-4項中任一項之用以在複數基板上沉積薄膜之反應室的準備方法,其中使該源氣體流入該反應室的步驟及將該反應室曝露至該電漿的步驟係循環性地進行,以經由一原子層沉積表面反應沉積該薄膜。
  7. 如申請專利範圍第1-4項中任一項之用以在複數基板上沉積薄膜之反應室的準備方法,其中該反應室持續曝露至該電漿介於約30-90秒的時間以形成一披覆下層膜。
  8. 如申請專利範圍第7項之用以在複數基板上沉積薄膜之反應室的準備方法,更包含重覆該方法以在該披覆下層膜上形成一沉積前披覆層,其中在該沉積前披覆層的形成期間將該反應室持續曝露至電漿介於約60-350秒的時間,且其中在該反應室之該複數表面上在形成該披覆下層膜期間的沉積速率係高於在形成該沉積前披覆層期間的沉積速率。
  9. 一種在基板上沉積薄膜的方法,包含: 使包含一第一試劑與氦氣的一第一源氣體流入一反應室中並將該反應室曝露至一第一電漿以在無基板存在的該反應室的複數表面上沉積一第一薄膜,其中該第一試劑在室溫下為液態; 將一基板提供至該反應室;及 使一第二源氣體流入該反應室中並將該反應室曝露至一第二電漿,藉此在該基板上沉積一第二薄膜,其中該第二源氣體包含在室溫下為液態的一第二試劑,且其中該第二源氣體為實質上無氦的。
  10. 如申請專利範圍第9項之在基板上沉積薄膜的方法,其中該第一試劑包含TEOS。
  11. 如申請專利範圍第10項之在基板上沉積薄膜的方法,其中當該TEOS在室溫下為液態時量測到流入該反應室之該第一源氣體中的該TEOS的流率係小於約10 mL/min。
  12. 如申請專利範圍第9項之在基板上沉積薄膜的方法,更包含在該第二薄膜或部分之該第二薄膜形成在該基板上後,利用包含氦氣的一吹淨氣體持續吹淨該反應室至少約3秒。
  13. 如申請專利範圍第12項之在基板上沉積薄膜的方法,其中該吹淨氣體中之該氦氣的流率係介於約10-20 SLM。
  14. 如申請專利範圍第9-13項中任一項之在基板上沉積薄膜的方法,其中該第二試劑包含TEOS。
  15. 如申請專利範圍第9-13項中任一項之在基板上沉積薄膜的方法,更包含:在將該基板提供至該反應室前,使包含一第三試劑與氦氣的一第三源氣體流入該反應室並將該反應室曝露至一第三電漿,藉此在無基板存在的該反應室的該複數表面上沉積一第三薄膜,其中該第三試劑在室溫下為液態,其中該反應室係持續曝露至該第一電漿介於約30-90秒的時間,其中該反應室係持續曝露至該第三電漿介於約60-350秒的時間,且其中在沉積該第一薄膜時的沉積速率係高於在沉積該第三薄膜時的沉積速率。
  16. 如申請專利範圍第9-13項中任一項之在基板上沉積薄膜的方法,更包含: 在將該第二薄膜沉積至該基板上後,自該反應室移除該基板; 使一第四源氣體流入該反應室中並將該反應室曝露至一第四電漿,藉此將一第四薄膜沉積至該反應室的複數表面上,其中該第四源氣體包含氦氣; 在將該第四薄膜沉積至該反應室的複數表面上之後,在該反應室中接收一第二基板;及 使一第五源氣體流入該反應室中並將該反應室曝露至一第五電漿,藉此將一第五薄膜沉積至該第二基板上,其中將該第二薄膜沉積至該基板上的步驟與將該第五薄膜沉積至該第二基板上的步驟之間至少有一反應參數不同,其中該反應參數係選自由下列者所組成的族群:用以產生電漿的功率、用以產生電漿的頻率、電漿曝露時間、被輸送至該反應室的反應物、輸送反應物至該反應室的時序、反應物被輸送至該反應室的流率、壓力、電極間隙及溫度。
  17. 如申請專利範圍第16項之在基板上沉積薄膜的方法,其中在將該第二薄膜沉積至該基板上之後,在毋需先清理該反應室的該複數表面的情況下將該第四薄膜沉積至該反應室的該複數表面上。
  18. 如申請專利範圍第17項之在基板上沉積薄膜的方法,其中被沉積在該基板上的該第二薄膜及被沉積在該第二基板上的該第五薄膜上皆具有少於約10顆之約0.04µm或可測得之更大的粒子。
  19. 如申請專利範圍第17項之在基板上沉積薄膜的方法,其中在該第四薄膜被形成於該反應室該複數表面上之後立即將該第五薄膜沉積至該第二基板上,兩薄膜沉積之間並未插入在該反應室內的任何沉積操作,且其中該第五薄膜上具有少於約20顆約0.04µm或可測得之更大的粒子。
  20. 一種用以在基板上沉積薄膜的設備,包含: 一反應室,具有用以輸送氣相反應物的一或多個入口; 一經加熱的注射模組,與該入口耦合,用以蒸發及/或霧化液相反應物; 一出口,用以自該反應室移除材料; 一電漿產生源,用以將該反應室曝露至電漿;及 一控制器,用以: 使一液態試劑流入該經加熱的注射模組; 在該經加熱的注射模組中於有氦氣存在的狀態下霧化該液態試劑,以產生包含經霧化之該液態試劑與氦氣的一源氣體; 使該源氣體自該經加熱的注射模組流入該反應室中;及 將該反應室曝露至電漿以在無基板存在的該反應室的複數表面上自該源氣體沉積一薄膜。
TW104101422A 2014-01-17 2015-01-16 氣相沉積膜中用以減輕瑕疵狀態之方法及設備 TWI643974B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/158,536 2014-01-17
US14/158,536 US9328416B2 (en) 2014-01-17 2014-01-17 Method for the reduction of defectivity in vapor deposited films

Publications (2)

Publication Number Publication Date
TW201602392A true TW201602392A (zh) 2016-01-16
TWI643974B TWI643974B (zh) 2018-12-11

Family

ID=53544280

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104101422A TWI643974B (zh) 2014-01-17 2015-01-16 氣相沉積膜中用以減輕瑕疵狀態之方法及設備

Country Status (3)

Country Link
US (1) US9328416B2 (zh)
KR (3) KR102366249B1 (zh)
TW (1) TWI643974B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11002629B2 (en) 2017-01-05 2021-05-11 Illumina, Inc. Pressure and leak testing methods
CN114235108A (zh) * 2021-12-24 2022-03-25 华中科技大学无锡研究院 基于数据分析燃气流量计异常状态检测方法和装置
TWI820472B (zh) * 2021-08-19 2023-11-01 天虹科技股份有限公司 物理氣相沉積腔體的暖機方法

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI514460B (zh) * 2014-08-22 2015-12-21 Sino American Silicon Prod Inc 晶圓製作方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10163655B2 (en) * 2015-11-20 2018-12-25 Micron Technology, Inc. Through substrate via liner densification
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
WO2018112463A1 (en) * 2016-12-16 2018-06-21 Applied Materials, Inc. Method to enable high temperature processing without chamber drifting
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP2022514171A (ja) * 2018-10-19 2022-02-10 ラム リサーチ コーポレーション 半導体処理のためのチャンバ構成部品のインサイチュ保護被膜
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5166101A (en) 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5654475A (en) * 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) * 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5518959A (en) 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US5674783A (en) 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
JP2001020995A (ja) * 1999-07-08 2001-01-23 Bridgestone Corp 防振装置及び防振装置のバルブ
US6530380B1 (en) 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
KR20080047543A (ko) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 트랜지스터, 유기 반도체 소자, 및 이들의 제조 방법
EP2541176A3 (en) * 2005-11-23 2014-09-24 Surface Combustion, Inc. Fluid delivery system for an atmospheric furnace used for treating one or more articles
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
WO2011087698A2 (en) * 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11002629B2 (en) 2017-01-05 2021-05-11 Illumina, Inc. Pressure and leak testing methods
TWI733969B (zh) * 2017-01-05 2021-07-21 美商伊路米納有限公司 壓力及洩漏測試方法
TWI820472B (zh) * 2021-08-19 2023-11-01 天虹科技股份有限公司 物理氣相沉積腔體的暖機方法
CN114235108A (zh) * 2021-12-24 2022-03-25 华中科技大学无锡研究院 基于数据分析燃气流量计异常状态检测方法和装置
CN114235108B (zh) * 2021-12-24 2023-08-15 华中科技大学无锡研究院 基于数据分析燃气流量计异常状态检测方法和装置

Also Published As

Publication number Publication date
TWI643974B (zh) 2018-12-11
KR20230145004A (ko) 2023-10-17
KR102366249B1 (ko) 2022-02-21
KR20150086197A (ko) 2015-07-27
KR20220025787A (ko) 2022-03-03
US9328416B2 (en) 2016-05-03
US20150203967A1 (en) 2015-07-23

Similar Documents

Publication Publication Date Title
TWI643974B (zh) 氣相沉積膜中用以減輕瑕疵狀態之方法及設備
JP7194713B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
US10903071B2 (en) Selective deposition of silicon oxide
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
US9214334B2 (en) High growth rate process for conformal aluminum nitride
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9624578B2 (en) Method for RF compensation in plasma assisted atomic layer deposition
KR102218085B1 (ko) 갭충진을 위한 컨포멀 막 증착
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
TWI794318B (zh) 增加反應器處理批量大小的方法和設備