KR20210028093A - 유전체 층을 포함하는 구조체 및 이를 형성하는 방법 - Google Patents

유전체 층을 포함하는 구조체 및 이를 형성하는 방법 Download PDF

Info

Publication number
KR20210028093A
KR20210028093A KR1020200103399A KR20200103399A KR20210028093A KR 20210028093 A KR20210028093 A KR 20210028093A KR 1020200103399 A KR1020200103399 A KR 1020200103399A KR 20200103399 A KR20200103399 A KR 20200103399A KR 20210028093 A KR20210028093 A KR 20210028093A
Authority
KR
South Korea
Prior art keywords
reaction chamber
precursor
species
substrate
diethoxydisiloxane
Prior art date
Application number
KR1020200103399A
Other languages
English (en)
Inventor
얀 장
토시히사 노자와
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210028093A publication Critical patent/KR20210028093A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

예를 들어 개선된 탄성계수 및/또는 유전 상수와 같이, 개선된 특성을 갖는 유전체 막을 갖는 구조체를 형성하기 위한 방법이 개시된다. 예시적인 막은 주기적 증착 공정을 사용하여 형성될 수 있다. 예시적인 방법은 고품질의 유전체 층을 형성하기 위해, (예를 들어, 대칭 구조의) 전구체 분자를 절단하는 활성 종을 사용한다.

Description

유전체 층을 포함하는 구조체 및 이를 형성하는 방법{STRUCTURES INCLUDING DIELECTRIC LAYERS AND METHODS OF FORMING SAME}
본 개시는 일반적으로 전자 소자의 제조에 적합한 구조체를 형성하기 위한 방법 및 시스템에 관한 것이다. 본 개시의 예는, 플라즈마 강화 주기적 증착 공정을 사용하여 저유전율 유전체 막을 포함한 구조체를 형성하기 위한 방법 및 시스템에 관한 것이다.
전자 소자의 제조 중에, 낮은 유전 상수(저유전율)를 갖는 비정질 막의 증착은 여러 응용 분야에 바람직하고, 집적 회로에서 크로스토크를 전기적 절연시키고 경감시키는 것을 포함한다. 저유전율 막은 다양한 기술을 사용하여 증착될 수 있고, 예를 들어 플라즈마 강화 화학 기상 증착(PECVD)을 포함한다. 일반적으로, PECVD를 이용하면, 전구체 분자는 가스 상으로 과도하게 분해되고, 이는 비교적 다공성인 비정질 막의 증착을 생성한다. PECVD를 사용한 유전체 재료의 증착은 비교적 낮은 k 값을 가질 수 있지만, 막은 또한 바람직하지 않게 낮은 탄성 계수를 가질 수 있다.
중성 빔을 사용하는 PECVD 방법은, 개선된 탄성 계수 및 대칭 구조화된 막의 생산을 초래하였다. 그러나, 중성 빔 방법은 비용이 높으며 구현하기가 어려울 수 있다.
따라서, 기판 상에 고품질 재료, 예컨대 고품질 유전체 재료(예, 실리콘 산화물)를 형성하기 위해 개선된 시스템 및 방법, 그리고 이러한 방법 및/또는 시스템을 사용하여 형성된 구조체가 요구된다. 이 부분에 진술된 문제점 및 해결책에 대한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 포함되었고, 그 논의의 일부 또는 전부가 본 개시 시점에 알려졌다는 것을 인정하는 것으로 받아들여져서는 안 된다.
본 개시의 다양한 구현예는, 고품질 절연체 또는 유전체 막을 포함한 구조체를 형성하는 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전 방법과 시스템의 문제점을 해결하는 방식은 이하에서 보다 상세히 논의되면서, 일반적으로 본 개시의 다양한 구현예는 개선 방법 및 시스템을 제공하고, 이는 원하는 특성을 갖는 막을 형성하기 위해 활성 종의 사용을 포함한다.
본 개시의 구현예에 따라, 기판 표면 상에 재료를 증착하는 방법은, (a) 상기 기판을 반응 챔버 내에 제공하는 단계; (b) 상기 기판 표면 상에 흡착되어 흡착 종을 형성하는 전구체를 상기 반응 챔버 내에 제공하는 단계; (c) 상기 전구체를 제공한 후 상기 반응 챔버를 퍼지하는 단계; 및 (d) 상기 흡착 종을 활성 종에 노출하여 상기 흡착 종을 절단함으로써 제공된 상기 기판의 표면 상에 절단된 흡착 종을 형성하는 단계를 포함한다. 전구체는 대칭 구조의 전구체일 수 있다. 대칭 구조의 전구체는 수평축에 대해 대칭일 수 있다. 대칭 구조의 전구체는 산소를 포함할 수 있다. 본 개시의 일부 예에 따라, 대칭 구조의 전구체는 선형 주쇄, 및 상기 주쇄에 부착된 복수의 유기(예, 메틸, 에틸, 프로필) 기를 포함한다. 전구체는 Si-O 결합을 포함할 수 있다. 전구체는 실리콘 및 유기 기를 포함할 수 있다. 이들 구현예의 다양한 양태에 따라, 전구체는 선형 주쇄를 포함할 수 있고, 상기 주쇄를 따라 측쇄 상에 실리콘-산소 및 실리콘-탄소-실리콘 결합을 포함한다.
특정 예로서, 대칭 구조의 전구체는, 디메틸디메톡시실란(DMDMOS), 테트라메틸-1,3-디메톡시디실록산(DMOTMDS), 테트라에틸-1,3-디메톡시디실록산, 테트라프로필-1,3-디메톡시디실록산, 테트라부틸-1,3-디메톡시디실록산, 테트라메틸-1,3-디에톡시디실록산, 테트라메틸-1,3-디프로폭시디실록산, 테트라에틸-1,3-디에톡시디실록산, 테트라에틸-1,3-디프로폭시디실록산, 테트라프로필-1,3-디에톡시디실록산, 테트라프로필-1,3-디프로폭시디실록산, 테트라부틸-1,3-디에톡시디실록산, 또는 테트라부틸-1,3-디프로폭시디실록산 중 하나 이상을 포함할 수 있다. 활성 종은 반응 챔버 내에 형성될 수 있다.
활성 종은 원격식 플라즈마를 사용하여 형성될 수 있다. 활성 종을 형성하기 위한 가스는 아르곤, 헬륨, 또는 아르곤과 헬륨을 포함할 수 있다. 활성 종을 형성하기 위한 가스는 추가적으로 또는 대안적으로 수소 가스를 포함할 수 있다. 단계 (d) 동안, 플라즈마는 펄스화되거나 연속적으로 공급될 수 있다. 상기 방법은 PEALD 공정을 포함할 수 있다. 상기 방법은 단계 (d) 후에 반응 챔버를 퍼지하는 단계를 추가로 포함할 수 있다. 반응 가스는 단계 (a) 내지 단계 (d) 동안 반응 챔버에 연속적으로 공급될 수 있다. 단계 (d) 동안, 하나 이상의 유기 기는 흡착 종으로부터, 예를 들어 전구체 분자의 말단으로부터 절단될 수 있다. 반응 챔버 내의 압력은 약 500 Pa 내지 약 1000 Pa 또는 약 1000 Pa 내지 약 5000 Pa일 수 있다. 반응 챔버 내의 온도는 약 70°C 내지 약 50°C 또는 약 50°C 내지 약 30°C일 수 있다. 상기 막의 원하는 두께를 달성할 때까지 단계 (a) 내지 단계 (d)를 반복함으로써 저유전율 유전체 막을 기판 상에 형성할 수 있다.
구조체는 본원에 개시된 방법에 따라 형성될 수 있다.
반응기 시스템은 본원에 개시된 방법을 수행하도록 구성될 수 있다.
본 개시는 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 본 개시의 일 구현예에 따른 PEALD 공정 시퀀스를 나타낸다.
도 2는 본 개시의 일 구현예에 따른 PEALD 공정의 한 사이클 동안 발생하는 반응을 나타낸다.
도 3의 (A) 내지 (D)는 본 개시의 일 구현예에 따라 사이클 당 저유전율 막 성장 속도(GPC)(nm/사이클)과 (A) 공급 시간(초), (B) RF 온 시간(초), 및 (C) 퍼지 시간(초) 사이의 관계를 나타내는 그래프이다. (D)는 본 개시의 일 구현예에 따른 사이클 횟수와 막 두께(nm) 사이의 관계를 나타낸다.
도 4a 및 도 4b는, 본 개시의 구현예에 따라 상이한 공정 조건 하에서 형성된 Si-CH3 막의 푸리에 변환 적외선(FTIR) 스펙트럼을 나타낸다. 도 4a의 분해도 삽입 부분이 도 4b에 제공되어 있다.
도 5는 본 개시의 구현예에 따른 펄스화된 플라즈마 단계 및 연속 플라즈마 단계를 사용한 Si-CH3 막의 FTIR 스펙트럼을 나타낸다.
도 6은, 본 개시의 구현예에 따라 사용 가능한, 유전체 막을 증착하기 위한 PEALD(플라즈마 강화 원자층 증착) 장치의 개략적인 표시를 나타낸다.
도 7은 본 개시의 예시적인 구현예에 따라 형성된 구조체를 개략적으로 나타낸다.
도면의 구성 요소들은 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 개시가 구체적으로 개시된 구현예 및/또는 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 본 개시의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는, 일반적으로 구조체, 예컨대 전자 소자를 형성하기에 적합한 구조체를 형성하는 방법, 상기 방법을 수행하기 위한 반응기 시스템, 및 상기 방법을 이용해 형성된 구조체에 관한 것이다. (예를 들어, 비정질) 고품질의 절연체 또는 유전체 층을 형성하기 위해, 본원에 설명된 시스템 및 방법을 예로서 사용할 수 있다. 일부 구현예에서, 불활성 공정 가스(예, 아르곤 및 헬륨) 및 환원 공정 가스(예, 수소) 중 하나 이상을 사용한 주기적 증착 공정을 사용하여, 층을 형성한다. 예를 들어, 주기적 공정에 사용된 공정 가스는 아르곤, 헬륨 및 수소 중 하나 이상을 포함할 수 있다. 일부 구현예에서, 대칭 구조화된 전구체를 사용하여 층을 형성한다.
본 개시에서, "가스"는 실온 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함할 수 있다. 일부 구현예에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 및 특히 막 매트릭스 또는 막의 메인 골격을 구성하는 화합물을 지칭할 수 있으며; 용어 "반응물"은 용어 전구체(예, Ar, He, 및/또는 H2)와 상호 교환적으로 사용될 수 있다. 용어 "불활성 가스"는 화학 반응에 참여하지 않고/않거나 RF 전력이 인가될 경우 전구체를 여기시키는 가스를 지칭할 수 있으나, 반응물과는 달리 상당한 정도로 막 매트릭스의 일부가 될 수 없다. 예시적인 불활성 가스는 He, Ar, N2, 및 이들의 임의의 조합을 포함한다. 수소는 또한 불활성 가스 및/또는 환원제로서 사용될 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, II-VI족 또는 III-V족과 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 라인 등을 포함할 수 있다. 특징부는, 예를 들어 약 1 내지 약 50 또는 약 3 내지 약 20의 범위인 비교적 높은 종횡비를 가질 수 있다.
본원에서 사용되는 바와 같이, 용어 "막" 및/또는 "층"은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조체 및 재료를 지칭할 수 있다. 예를 들어, 막 및/또는 층은 이차원 재료, 삼차원 재료, 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. 막 또는 층은 핀홀을 갖는 재료 또는 층을 포함할 수 있고, 이는 적어도 부분적으로 연속적일 수 있다.
본원에서 사용되는 바와 같이, 용어 "주기적 증착"은 반응 챔버 내로 전구체(반응물)를 순차적으로 도입시켜 기판 위에 막을 증착하는 것을 지칭할 수 있으며 원자층 증착 및 주기적 화학 기상 증착과 같은 증착 기술을 포함한다.
본원에서 사용되는 바와 같이, 용어 "주기적 화학 기상 증착"은 원하는 증착을 생성시키기 위해 기판 상에서 반응 및/또는 분해되는 둘 이상의 휘발성 전구체에 기판이 순차적으로 노출되는 임의의 공정을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "원자층 증착"(ALD)은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클은, 전형적으로 복수의 연속 증착 사이클은 반응 챔버에서 수행된다. 일반적으로, 각각의 사이클 중에 전구체는 증착 표면(예, 기판 표면, 또는 이전 ALD 사이클로부터의 재료와 같이 이전에 증착된 하부 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응) 단층 또는 서브 단층을 형성한다. 그 후, 반응물(예, 다른 전구체, 반응 가스, 환원 가스, 및/또는 불활성 가스)을 후속해서 반응 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 전형적으로, 이 반응물은 전구체와 추가로 반응할 수 있다(예, 흡착된 전구체의 일부분을 절단함). 또한, 각각의 사이클 중에 퍼지 단계도 사용할 수 있어, 반응 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 화학 흡착된 전구체의 전환 이후 반응 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다. 추가로, 본원에서 사용된 용어 "원자층 증착"은 전구체 조성(들), 반응 가스, 및 퍼지(예, 불활성 캐리어) 가스의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다. PEALD는 ALD 공정을 지칭하고, 여기서 ALD 단계 중 하나 이상 동안에 플라즈마를 인가한다.
본원에서 사용되는 바와 같이, "구조체"는 본원에 기술된 바와 같은 기판을 포함할 수 있다. 구조체는, 본원에서 설명하는 바와 같이 형성되는, 기판 위에 놓인 하나 이상의 층을 포함할 수 있다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의로 정의된 의미는 일부 구현예에서 보통이고 관습적인 의미를 반드시 배제하는 것은 아니다.
본 개시에서, "연속적으로"는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 물질의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서는 두 개의 구조체 사이에 두 개의 구조체 이외의 분리된 물리적 또는 화학적 구조체가 개입하지 않는 것 중 하나 이상을 지칭할 수 있다.
본 개시에서, 대칭 구조의 전구체는, 대칭 수평면에 대해 대칭을 갖는 전구체를 지칭할 수 있다. 예를 들어, DMDMOS는 수평축에 대해 위 아래로 대칭이며, 수평축 위 아래의 각각의 화학물질(예, 유기) 기는 동일하고, 즉 메틸기이다.
이제 도면을 참조하면, 도 1은 본 개시의 적어도 하나의 구현예에 따른 증착 공정(100)을 개략적으로 나타낸다. 예시 공정에서, 반응물 가스(예, He, Ar 및/또는 H2)는 나타낸 바와 같이 증착 사이클 전체에 걸쳐 그리고 선택적으로 증착 사이클에 앞서 제공된다. 각각의 증착 사이클은 공급 단계(110)로 시작하고, 여기서 전구체 가스가 반응 공간으로 제공된 다음 차단된다. 그 다음, 퍼지 단계(120)에서, 전구체 가스가 반응 공간으로부터 퍼지된다. 그 다음, 단계(130)의 플라즈마에서, 플라즈마(예, RF) 전력이 제공되고 차단된다. 플라즈마는 두 개 이상의 펄스로 제공될 수 있거나, 단계(130) 동안 연속적으로 제공될 수 있다. 그 다음, 사후 퍼지 단계(140)에서, 과량의 전구체 및/또는 부산물이 반응 공간으로부터 퍼지될 수 있다. 증착 사이클은, 증착된 재료가 원하는 두께에 도달할 때까지 반복될 수 있다. 상기 공정은 절연체 또는 저유전율 유전체 재료 층을 형성하기 위해 사용될 수 있다. 예를 들어, 산화물, 질화물, 및 탄화물 층 중 하나 이상은 공정(100)을 사용하여 형성될 수 있다. 예를 들어, 상기 층은 SiO2, SiN, SiOC, SiCN, SiC, SiON, SiOCN, SiBN, SiBO, Geox, GeN, AlOx, TiO2, 및 TaO2 중 하나 이상일 수 있거나 이를 포함할 수 있다.
도 2는 본 개시의 예시적인 구현예에 따라 증착 사이클 동안의 반응을 나타낸다. 도시된 예에서, 전구체, 예를 들어 대칭 구조의 전구체, 예컨대 디메틸디메톡시실란(DMDMOS) 전구체가 반응 챔버 안으로 공급된다. 다른 구현예에서, 상이한 대칭 구조의 전구체가 사용된다. 일부 구현예에서, 산소 함유의 대칭 구조 전구체를 사용한다. 일부 구현예에서, 대칭 구조의 전구체는, 대칭 수평면에 대한 결합보다는 파단되기 쉬운 대칭 수평면을 따르는 결합을 포함한다. 일부 구현예에서, 전구체는 또한 대칭 수직면에 대해 대칭이다. 사용될 수 있는 다른 대칭 구조의 전구체 예시는, 테트라메틸-1,3-디메톡시디실록산(DMOTMDS), 테트라에틸-1,3-디메톡시디실록산, 테트라프로필-1,3-디메톡시디실록산, 테트라부틸-1,3-디메톡시디실록산, 테트라메틸-1,3-디에톡시디실록산, 테트라메틸-1,3-디프로폭시디실록산, 테트라에틸-1,3-디에톡시디실록산, 테트라에틸-1,3-디프로폭시디실록산, 테트라프로필-1,3-디에톡시디실록산, 테트라프로필-1,3-디프로폭시디실록산, 테트라부틸-1,3-디에톡시디실록산, 또는 테트라부틸-1,3-디프로폭시디실록산 등을 포함한다. 다른 구현예에서, 비대칭 구조의 전구체가 사용된다.
이 예에서, DMDMOS 전구체가 반응 챔버 안으로 공급된 후, 퍼지 단계는 기판 상에 부착되지 않거나 흡착되지 않은 임의의 과량의 전구체를 배기한다. 퍼지 후, 플라즈마가 켜지면, Ar 이온은 DMDMOS 종에서 메틸 말단기를 절단한다. 그 다음, 사후 퍼지 단계는 반응 챔버로부터 메틸 기 부산물을 배기한다. 나타낸 바와 같이, DMDMOS의 말단에서의 자유 산소 기는 막을 생성하도록 결합할 수 있다.
일부 구현예에서, 플라즈마 단계는 펄스로 제공된다. 펄스화된 플라즈마는 반응 챔버로부터 임의의 잔류 전구체 및/또는 임의의 부산물의 퍼지를 향상시킬 수 있고, 이들이 막에 포함되는 것을 방지할 수 있다. 펄스화된 플라즈마가 사용되는 일부 구현예에서, RF 전력의 각 펄스는 0.1 초 미만, 0.05 초 미만, 또는 0.04 초 미만 동안 제공될 수 있다. 일부 구현예에서, RF 전력의 지속 시간(초)은 0.04, 0.05, 0.1, 0.2, 0.3, 0.4, 0.5, 0.6, 0.7, 0.8, 0.9, 1.0, 2.0, 3.0, 4.0, 또는 5.0 초이고, 전술한 숫자 중 임의의 두 개 사이의 범위이다. 펄스 사이클에서 오프 시간의 지속 시간은 다른 공정 조건, 예컨대 유량, 압력 등에 의존할 수 있다. 본 개시의 특정 예에 따라, 오프 시간의 지속 시간은 반응 챔버 내 전구체의 체류 시간보다 길다. 일부 구현예에서, 플라즈마 조건은 전구체의 원래 대칭 구조를 절단하지 않도록 미세 조정된다.
일부 구현예에서, 원격식 플라즈마가 사용된다. 일부 구현예에서, 직접식 플라즈마가 사용된다.
일부 구현예에서, 도 2에 나타낸 바와 같이 단계(110, 120, 130 및 140) 중 하나 이상 동안에 반응 챔버 내 온도는 약 50 내지 70°C 또는 약 30 내지 50°C이다. 일부 구현예에서, 도 2에 나타낸 바와 같이 단계(110, 120, 130, 및 140) 중 하나 이상 동안에 반응 챔버 내 압력은 약 500 내지 약 1000 Pa 또는 약 1000 내지 약 5000 Pa이다.
일부 구현예에서, PEALD 공정 동안, 플라즈마를 형성하는 데 사용되는 RF 발생기의 전력은 약 20 W 내지 약 200 W, 약 40 W 내지 약 150 W, 또는 약 20 W 및 약 50 W일 수 있다. 일부 구현예에서, 바이어스는 적용되지 않는다. 다른 구현예에서, 낮은 바이어스가 적용될 수 있다. 예를 들어, 샤워헤드와 서셉터의 바이어스는 약 2 W 내지 약 50 W, 약 5 W 내지 약 30 W, 또는 약 2 내지 약 15 W일 수 있다.
일부 구현예에서, PEALD 공정이 사용된다. 다른 구현예에서, 다른 주기적 증착 공정, 예컨대 하이브리드 ALD-CVD 공정의 PECVD가 사용될 수 있다. 주기적 증착 공정에서, 사이클은 원하는 두께의 층을 형성하기 위해 반복될 수 있다. 예를 들어, 2 nm 내지 약 300 nm 또는 약 10 nm 내지 약 150 nm의 두께를 갖는 층이 형성될 수 있다.
일부 구현예에서, 반응 챔버로의 전구체 유량(sccm)은 15, 80, 160 또는 전술한 숫자 중 임의의 2개의 범위이고, 연속 또는 펄스 플라즈마를 이용한다.
본 개시의 방법에 사용된 반응기는, 임의의 적합한 기상 반응기를 포함할 수 있다. 예시적인 반응기는 ALD(예, PEALD) 반응기 및 CVD(예, PECVD) 반응기를 포함한다. 도 6은, 본 개시의 예시적인 구현예에 사용하기에 적합한 예시적인 PEALD 장치(300)의 개략도이다. PEALD 장치(300)는, 반응 챔버(3)의 내부(11)(반응 구역)에서 서로 평행하게, 그리고 서로 마주하는 한 쌍의 전기 전도성 평판 전극(4, 2)을 포함한다. RF 전력(13.56 MHz 또는 27 MHz)(20)이 한 측면에 인가되고 전력이 다른 측면(12)에 전기적으로 접지되는 경우, 플라즈마는 전극 사이에 여기된다. 온도 조절기가 하부 스테이지(2)(하부 전극)에 제공될 수 있고, 그 위에 배치된 기판(1)의 온도는 원하는 온도로 유지될 수 있다. 상부 전극(4)은 샤워 플레이트로서의 역할도 수행하며, 반응물 가스 및/또는 희석 가스, 그리고, 만약에 사용한다면, 전구체 가스가 각각의 가스 라인(21) 및 가스 라인(22)을 통해서 그리고 샤워 플레이트(4)를 통해서 반응 챔버(3)로 유입된다. 추가적으로, 반응 챔버(3)에는 배기 라인(7)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기된다. 추가적으로, 반응 챔버(3) 아래에 배치된 이송 챔버(5)는, 이송 챔버(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 씰 가스를 유입하기 위한 씰 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 챔버(5)로 또는 이송 챔버로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 챔버에는 배기 라인(6)이 또한 구비된다. 일부 구현예에서, 반응기는, 본원에 설명된 PEALD 공정을 수행하도록 프로그래밍된 제어기(400)와 함께 사용된다.
본 개시의 방법에 의해 형성된 구조체(200)가 도 7에 나타나 있다. 구조체(200)는 본원에 기술된 기판(210)을 포함할 수 있다. 구조체는, 본원에서 설명하는 바와 같이 형성되는, 기판 위에 놓인 하나 이상의 층(220)을 포함할 수 있다.
실시예
아래에 제공된 실시예는 예시적인 것이다. 달리 언급되지 않는 한, 본 개시의 구현예는 아래에 제공된 특정 예시로 제한되지 않는다.
실시예 1
도 1 및 도 2에 나타낸 공정에 따라 기판 상에 PEALD에 의해 저유전율 막을 형성하였다. 연속적인 플라즈마 단계를 사용하여 사이클을 수행하였다. 도 3은 본 개시의 방법이 ALD-유사 막을 성장시키는 것을 나타낸다. 도 3의 (A)는 사이클 당 성장 속도(GPC)(nm/사이클)와 전구체 공급 시간(초) 사이의 관계를 보여주는 그래프이고, 1초의 공급 시간 이후 성장이 포화점에 도달했음을 나타낸다. 도 3의 (B)는 GPC와 RF 온(초) 사이의 관계를 나타내고, 대략 약 0.6 초의 플라즈마 온 시간 이후 포화점에 도달했음을 나타낸다. 도 3의 (C)는 GPC와 퍼지 시간(초) 사이의 관계를 나타내며, 퍼지가 약 2 초에서 실질적으로 완료되었음을 나타낸다. 약 2 초 후, 표면 반응이 주로 GPC에 기여한다. 도 3의 (D)는 막 두께(nm)와 증착 공정에서 반복되는 사이클의 횟수 사이의 관계를 나타낸다. 도 3의 (D)는 층 두께가 증착 사이클의 횟수에 비례하여 증가함을 나타낸다. 둘 사이의 관계는 실질적으로 선형이며 ALD-유사 막 성장을 나타낸다.
실시예 2
도 4a 및 도 4b는, 본 개시의 구현예에 따라 상이한 공정 조건 하에서 형성된 Si-CH3 막의 푸리에 변환 적외선(FTIR) 스펙트럼을 나타낸다. 1000 Pa 압력, 200 W 전력, 및 2 초의 공정 조건 하에서, k 값은 약 4이다. 1000 Pa 압력, 200 W 전력, 및 0.3 초의 공정 조건 하에서, k 값은 약 4이다. 3000 Pa 압력, 100 W 전력, 및 0.15 초의 공정 조건 하에서, k 값은 약 3.1이다. 이들 조건에서 개선된 k 값은, 3.23의 k 값을 나타낸 종래의 PECVD 방법(참조)에 비해 추가적으로 개선이 있다. Si-CH3 피크는, 플라즈마 이온 에너지가 감소되는 경우에 증가한다. 이는, 압력을 증가시키고, 전력을 감소시키고, 플라즈마 온 시간을 감소시킴에 따라 달성되고, 전구체에서 원래의 Si-CH3 구조를 유지한다.
도 5는, 도 4에서 결정된 최적 조건, 특히 3000 Pa 압력, 100 W 전력, 및 0.15 초에서 펄스 플라즈마 대 연속 플라즈마를 사용하여 형성된 Si-CH3 막의 FTIR 스펙트럼을 나타낸다. 펄스 방출 동안 증착된 막은 연속 방출의 것보다 높은 Si-CH3 피크를 갖고, 이는 막에 부산물 혼입이 감소되거나 경감되는 것에 기인하는 것으로 여겨진다.
위에 설명된 본 개시의 예시적 구현예는 본 개시의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 개시의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 개시의 범주 내에 있는 것으로 의도된다. 확실하게, 본원에 나타내고 설명된 구현예 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 개시의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (22)

  1. 기판 표면 상에 재료를 증착하는 방법으로서, 상기 방법은,
    (a) 상기 기판을 반응 챔버 내에 제공하는 단계;
    (b) 흡착 종을 형성하기 위해 상기 기판의 표면 상에 흡착된 대칭 구조의 전구체를, 상기 반응 챔버 내에 제공하는 단계;
    (c) 상기 대칭 구조의 전구체를 제공한 이후 상기 반응 챔버를 퍼지하는 단계; 및
    (d) 상기 흡착 종을 활성 종에 노출시켜 상기 흡착 종을 절단함으로써, 상기 기판의 표면 상에 절단된 흡착 종을 형성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 대칭 구조의 전구체는 수평축에 대해 대칭인, 방법.
  3. 제1항에 있어서, 상기 대칭 구조의 전구체는 산소를 포함하는, 방법.
  4. 제1항에 있어서, 상기 대칭 구조의 전구체는 디메틸디메톡시실란(DMDMOS), 테트라메틸-1,3-디메톡시디실록산(DMOTMDS), 테트라에틸-1,3-디메톡시디실록산, 테트라프로필-1,3-디메톡시디실록산, 테트라부틸-1,3-디메톡시디실록산, 테트라메틸-1,3-디에톡시디실록산, 테트라메틸-1,3-디에톡시디실록산, 테트라메틸-1,3-디에톡시디실록산, 테트라메틸-1,3-디프로폭시디실록산, 테트라부틸-1,3-디에톡시디실록산, 테트라부틸-1,3-디에톡시디실록산, 테트라부틸-1,3-디에톡시디실록산, 또는 테트라부틸-1,3-디프로폭시디실록산 중 하나 이상을 포함하는, 방법.
  5. 제1항에 있어서, 상기 활성 종은 상기 반응 챔버 내에 형성되는, 방법.
  6. 제1항에 있어서, 상기 활성 종은 원격식 플라즈마를 사용하여 형성되는, 방법.
  7. 제1항에 있어서, 상기 활성 종을 형성하기 위한 가스는 아르곤, 헬륨, 또는 아르곤과 헬륨 모두를 포함하는, 방법.
  8. 제1항에 있어서, 상기 활성 종을 형성하기 위한 가스는 수소 가스를 포함하는, 방법.
  9. 제1항에 있어서, 단계 (d) 중에 플라즈마가 펄스화되는, 방법.
  10. 제1항에 있어서, 단계 (d) 중에 플라즈마가 연속적으로 공급되는, 방법.
  11. 제1항에 있어서, 상기 방법은 PEALD 공정을 포함하는, 방법.
  12. 제1항에 있어서, 단계 (d) 후에 상기 반응 챔버를 퍼지하는 단계를 추가로 포함하는 방법.
  13. 제1항에 있어서, 반응 가스는 단계 (a) 내지 단계 (d) 동안 상기 반응 챔버에 연속적으로 공급되는, 방법.
  14. 제1항에 있어서, 상기 전구체는 Si-O를 포함하는, 방법.
  15. 제1항에 있어서, 상기 전구체는 실리콘과 유기 기를 포함하는, 방법.
  16. 제15항에 있어서, 상기 유기 기는 단계 (d)에서 상기 흡착 종으로부터 절단되는, 방법.
  17. 제1항에 있어서, 상기 반응 챔버 내 압력은 약 500 Pa 내지 약 1000 Pa, 또는 약 1000 Pa 내지 약 5000 Pa인, 방법.
  18. 제1항에 있어서, 상기 반응 챔버 내 온도는 약 70°C 내지 약 50°C, 또는 약 50°C 내지 약 30°C인, 방법.
  19. 제1항 내지 제17항 중 어느 한 항의 방법을 수행하여 기판 상에 저유전율 유전체 막을 형성하는 방법으로서, 상기 막의 원하는 두께가 달성될 때까지 단계 (a) 내지 단계 (d)를 반복하는 방법.
  20. 제1항 내지 제18항 중 어느 한 항의 방법에 따라 형성된 구조체.
  21. 제1항 내지 제18항 중 어느 한 항의 단계를 수행하기 위한 반응기 시스템.
  22. 기판 표면 상에 재료를 증착하는 방법으로서, 상기 방법은,
    (a) 상기 기판을 반응 챔버 내에 제공하는 단계;
    (b) 흡착 종을 형성하기 위해 상기 기판 표면 상에 흡착된 전구체를 상기 반응 챔버 내에 제공하는 단계;
    (c) 상기 전구체를 제공한 이후 상기 반응 챔버를 퍼지하는 단계; 및
    (d) 상기 흡착 종을 활성 종에 노출시켜 상기 흡착 종을 절단하고 이에 의해 상기 재료를 포함한 층을 형성하는 단계를 포함하는, 방법.
KR1020200103399A 2019-08-29 2020-08-18 유전체 층을 포함하는 구조체 및 이를 형성하는 방법 KR20210028093A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962893645P 2019-08-29 2019-08-29
US62/893,645 2019-08-29

Publications (1)

Publication Number Publication Date
KR20210028093A true KR20210028093A (ko) 2021-03-11

Family

ID=74680062

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200103399A KR20210028093A (ko) 2019-08-29 2020-08-18 유전체 층을 포함하는 구조체 및 이를 형성하는 방법

Country Status (3)

Country Link
US (1) US20210066075A1 (ko)
KR (1) KR20210028093A (ko)
TW (1) TW202111148A (ko)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US9997357B2 (en) * 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9328416B2 (en) * 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
CN107430991A (zh) * 2015-02-23 2017-12-01 应用材料公司 用于形成高质量薄膜的循环连续工艺
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성

Also Published As

Publication number Publication date
TW202111148A (zh) 2021-03-16
US20210066075A1 (en) 2021-03-04

Similar Documents

Publication Publication Date Title
KR20210028093A (ko) 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
US20210225643A1 (en) Method for deposition of silicon nitride layer using pretreatment, structure formed using the method, and system for performing the method
US10655221B2 (en) Method for depositing oxide film by thermal ALD and PEALD
US11643724B2 (en) Method of forming structures using a neutral beam
US9754779B1 (en) Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9837263B2 (en) Atomic layer deposition of silicon carbon nitride based materials
US20200118815A1 (en) Method of forming conformal silicon carbide film by cyclic cvd
US9478414B2 (en) Method for hydrophobization of surface of silicon-containing film by ALD
US8784951B2 (en) Method for forming insulation film using non-halide precursor having four or more silicons
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US8440571B2 (en) Methods for deposition of silicon carbide and silicon carbonitride films
KR20180060983A (ko) 토폴로지상 제한된 플라즈마-강화 순환 증착의 방법
US20130244446A1 (en) Method for Forming Si-Containing Film Using Two Precursors by ALD
US20130330933A1 (en) Method for Forming Silicon-Containing Dielectric Film by Cyclic Deposition with Side Wall Coverage Control
US9613908B2 (en) Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
KR20150079470A (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US20220005693A1 (en) Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
KR20170083518A (ko) 전기적 성질 및 uv 적합성이 향상된 배리어 막
US10559458B1 (en) Method of forming oxynitride film
US20230070199A1 (en) Topology-selective deposition method and structure formed using same
US20230411147A1 (en) Methods and systems for forming a layer comprising silicon oxide
US20230084552A1 (en) Topology-selective nitride deposition method and structure formed using same
KR102663011B1 (ko) 기판 상에 실리콘 질화막을 형성하는 방법 및 관련 반도체 소자 구조체
US20220319833A1 (en) Method and system for mitigating underlayer damage during formation of patterned structures