TWI644359B - 用於低溫原子層沉積膜之腔室底塗層準備方法 - Google Patents

用於低溫原子層沉積膜之腔室底塗層準備方法 Download PDF

Info

Publication number
TWI644359B
TWI644359B TW103140644A TW103140644A TWI644359B TW I644359 B TWI644359 B TW I644359B TW 103140644 A TW103140644 A TW 103140644A TW 103140644 A TW103140644 A TW 103140644A TW I644359 B TWI644359 B TW I644359B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
substrate
undercoat layer
chamber
reactant
Prior art date
Application number
TW103140644A
Other languages
English (en)
Other versions
TW201526105A (zh
Inventor
康虎
錢駿
艾里恩 拉芙依
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201526105A publication Critical patent/TW201526105A/zh
Application granted granted Critical
Publication of TWI644359B publication Critical patent/TWI644359B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)

Abstract

於此揭露的方法和設備係關於在反應腔室之內表面上的底塗層生成及使用,其中該反應腔室用於在基板上沉積膜層。底塗層透過原子層沉積方法所沉積。所揭露的底塗層有助於防止金屬汙染物、提供改善的抗剝落能力,而且相對較薄。因為較佳的抗剝落力,所揭露的底塗層使更多的基板得以在後續的清潔操作之間受到處理從而增加生產量。

Description

用於低溫原子層沉積膜之腔室底塗層準備方法
本發明係關於低溫原子層沉積膜的技術,尤其是關於低溫原子層沉積膜之腔室底塗層準備方法。
隨著半導體產業的進展,元件尺寸逐漸變得更小。因為膜層之雜質或其他非均勻性的存在往往會導致半導體元件的故障,故這些日益漸小的特徵部需要極均勻的沉積程序。底塗層有助於改善晶圓與晶圓間的厚度均勻性以及晶圓內的厚度均勻性。
此處的某些實施例係關於在反應腔室中形成底塗層的方法與設備,其中該反應腔室係用於在基板上沉積膜層。此處之實施例的一態樣係提供在用於處理基板之反應腔室的內表面上形成底塗層的方法,包括(a)將蒸氣相的第一反應物流引入反應腔室,並使得第一反應物吸附至反應腔室的內表面上;(b)在第一反應物吸附至反應腔室的內表面上時,將蒸氣相的第二反應物流引入反應腔室;以及(c)為了驅動第一與第二反應物在反應腔室內表面上的反應以形成底塗層,故在第一與第二反應物流的至少其中一者已停止時,將反應腔室暴露於電漿,其中該底塗層保形地塗佈於反應腔室的內表面;其中操作(a)-(c)發生於反應腔室內無基板存在的時候,且其中操作(a)-(c)予以重複直到底塗層至少約0.1 µm厚。
某些實施例中,反應腔室內的溫度在操作(a)-(c)期間變化不超過約2°C。某些情形中的底塗層可以是氧化物、氮化物、碳化物或碳氮化物。底塗層也可以是貴金屬、鑭系元素氧化物、四族金屬氧化物或五族金屬氧化物。可使用多種不同的反應物。某些情形中,第二反應物包括O2 與N2 O。O2 與N2 O可用實質上相同的流速(以每分鐘標準公升(SLM)度量)提供。底塗層可保形地塗布於各腔室內表面(例如基板載具)。某些實施例中,底塗層不超過約0.5或0.2 µm厚。
本方法可由以下步驟繼續: (d)在反應腔室中接收基板;(e) 將蒸氣相的第三反應物流引入反應腔室,並使得第三反應物吸附到基板表面上;(f) 在第三反應物吸附到基板表面上時,將蒸氣相的第四反應物流引入反應腔室;以及(g) 為了驅動第三與第四反應物之間的反應以在基板表面上形成第二膜層,故在第三或第四反應物流的至少其中一者已停止時,將反應腔室暴露於電漿。
某些實施方式中,第一反應物及第二反應物分別與第三反應物及第四反應物相同。例如,第二及第四反應物可各自包含O2 與N2 O。其他的程序特性可在底塗層沉積與基板沉積之間保持固定。某些實施例中,反應腔室壓力、反應腔室溫度、給劑持續期間、電漿暴露的持續期間、以及射頻(RF)功率值中的一或更多者在操作(a)-(c)與操作(e)-(g)之間實質上保持固定。某些情形中,這些程序的全部特性在此兩組操作之間保持固定。
某些實施例中,反應腔室內的溫度在操作(a)-(g)期間並未變化超過約2°C。而且操作(e)可在操作(c)之最後一次重複後的5分鐘內開始。在這些或其他情形中,反應腔室在操作(c)的最後一次重複與操作(e)的第一次重複之間可以不受到吹洗。操作(d)-(g)可隨複數個基板重複。某些實施例中,直至達到4µm的腔室累積限度及/或已經使用操作(d)-(g)以反應腔室處理至少約300個基板,操作(a)-(g)中所沉積的膜層才會開始成片剝落或脫落。某些情況中,這些限度值或可更高,例如直到已處理至少約400個、或至少約500個、或至少約600個基板才可能開始成片剝落/脫落。各實施例中,這可相當於成片剝落/脫落之前有至少約7.5 µm、或至少約10 µm、或至少約15 µm的基板總沉積量。此量測值與在潔淨操作之間所處理的基板數目乘以基板上所沉積的膜層厚度有關。
所揭露之實施例的另一態樣提供處理反應腔室的方法,其中該反應腔室用於在基板上沉積膜層,該方法包括(a)從反應腔室內表面移除在先沉積的膜層以清潔反應腔室;以及(b)藉由原子層沉積程序在已清潔的反應腔室內表面上沉積底塗層;其中操作(b)發生在反應腔室內無基板存在的時候。某些實施例中,底塗層沉積至約0.1-0.5µm之間的厚度。某些實施例中的操作(b)可等溫地發生。
所揭露之實施例的進一步態樣係提供準備用於在基板上沉積膜層的反應腔室,包括:用於將蒸氣相反應物引至反應腔室的一或更多流入口;用於從反應腔室移除蒸氣相材料的一或更多流出口;用於產生對反應腔室暴露之電漿的電漿產生器;以及在反應腔室內表面上的底塗層,其中該底塗層約為0.5 µm厚或更薄,且其中該底塗層保形地覆蓋反應腔室的內表面。
某些實施方式中,反應腔室內表面上的底塗層可由以下步驟形成:(a)將蒸氣相的第一反應物流引入反應腔室,並使得第一反應物吸附到反應腔室的內表面上;(b) 在第一反應物吸附至反應腔室的內表面上時,將蒸氣相的第二反應物流引入反應腔室;以及(c)為了驅動第一與第二反應物在反應腔室內表面上的反應以形成底塗層,故在第一與第二反應物流的至少其中一者已停止時,將反應腔室暴露於電漿;其中操作(a)-(c)發生在反應腔室內無基板存在的時候。反應腔室內表面上的底塗層可約為0.2 µm厚或更薄。某些實施例中,該反應腔室更包括受到底塗層保形塗布的基板載具。
這些及其他的特徵將在以下參照相關圖式說明。
此應用中交互地使用詞語「半導體晶圓」、 「晶圓」、「晶圓基板」及「已部份製作的積體電路」。在本技術領域具有通常知識者將理解該詞語「已部份製作的積體電路」可意指在其上有諸多積體電路製造階段之任一者期間的矽晶圓。儘管半導體元件產業正邁向直徑450mm基板的採用,但用於此產業的晶圓或基板通常具有200或300mm的直徑。除了用於在半導體晶圓上沉積膜層的反應腔室外,其他類型的沉積反應器也可利用本發明。可受惠於所揭露之實施例的其他類型反應器包括那些用於製造像是印刷電路板、顯示器及其類似物之各種物件的反應器。除了半導體晶圓外,於此說明的方法與設備可與設置為供其他種類基板(包括玻璃及塑膠面板)所用的沉積腔室一起使用。
下述說明中,提出諸多特定細節以提供所呈現之實施例的完善理解。所揭露的實施例可在沒有部份或全部此等特定細節下實施。其他情形中,廣為習知的程序操作不予詳述以免不必要地混淆所揭露的實施例。儘管所揭露的實施例將偕同特定的實施方式說明,但應可了解這並非意欲限制所揭露的實施例。
保形均勻的二氧化矽(SiO2 )膜與其他介電膜在半導體製造中有許多應用。SiO2 薄膜的常見應用係相鄰電晶體的電絕緣。電絕緣可用絕緣的二氧化矽物理性地分隔相鄰電晶體所完成。化學氣相沉積(CVD)及電漿輔助化學氣相沉積(PECVD)有時會作為沉積用於淺溝槽絕緣(shallow trench isolation (STI))之矽氧化物膜或其他膜層的選擇方法,尤其是特徵部相對較大時。然而,特徵部的深寬比(深度比寬度) 隨著元件持續縮小而增加,而傳統的CVD技術再也無法在此等高深寬比的特徵部內設置足夠保形的膜層。
CVD的一替代方法為原子層沉積(ALD)處理以及電漿輔助原子層沉積(PEALD)處理。除非另行指明,否則詞語「ALD」在以下說明中意指包括PEALD,而詞語「CVD」在以下說明中意指包括PECVD。ALD方法涉及反應物氣體的自限吸附並可在高深寬比的特徵部中設置保形的介電薄膜。用於矽氧化物及其他種類之膜層沉積的ALD方法已臻成熟。由ALD所產生的膜層極薄(例如約為一單屬層);因此可重複多次的ALD循環以充分填充間隙特徵部。
與使用活化氣相反應來沉積膜層的CVD程序相反,ALD程序使用表面媒介的沉積反應,以層層相疊的方式來沉積膜層。在範例的ALD程序中,含有表面活化位址群的基板表面暴露於第一膜層前驅體(P1)的氣相分布。部分的P1分子可在基板表面上形成凝結相,包括P1的化學吸附物種與物理吸附分子。接著將反應器抽空以移除氣相與物理吸附的P1使得僅留存有化學吸附物種。接著將第二膜層前驅體(P2)引入反應器使得部分的P2分子吸附於基板表面。可再次抽空反應器,而此次用於移除未鍵結的P2。其後,提供至基板的能量(例如熱能或電漿能量)活化已吸附之P1及P2分子之間的表面反應而形成膜層。最後將反應器抽空以移除反應副產物與可能未反應的P1和P2而結束ALD循環。可包括額外的ALD循環以增加膜層厚度。
取決於前驅體給劑步驟的暴露時間以及前驅體的黏附係數,每一ALD循環可沉積例如約0.5Å~3Å厚之間的膜層。
也可在平面基板上沉積保形膜。例如,用於微影圖案化應用的抗反射層可由包含有交替種類之膜層的平面堆疊所形成。此抗反射層可約為100Å - 1000Å厚,這使得較低速的ALD程序比較高速的CVD程序要較不受人注目。然而,此抗反射層也可能具有比許多CVD程序所提供之晶圓內厚度變異量要更低的允差。例如,600Å厚的抗反射層可能僅允許低於3Å之厚度範圍的變異。
作為CVD之可用替代方案以供微小特徵尺寸之用的相關沉積技術為保形膜沉積(conformal film deposition (CFD))。一般而言,CFD並不仰賴反應之前徹底吹洗一或更多反應物來形成膜層。例如,觸發電漿(或其他活化能量)時即可有以蒸氣相存在的一或更多反應物。因此,描述在ALD程序中之程序步驟的一或更多者(例如吹洗步驟)可在範例CFD程序中予以縮短或排除。能進行ALD程序的腔室通常也能進行CFD程序。某些實施方式中,新式的底塗層形成程序可用於在CVD、ALD或CFD反應腔室中沉積底塗層。雖然新式底塗層的優勢以偕同ALD/CFD腔室使用時最佳,但實施方式並不受限於此情境。
底塗層能助於改善晶圓與晶圓間的厚度均勻性與晶圓內的厚度均勻性。腔室底塗層的使用對電漿處理設備的情境(例如用於沉積PEALD膜或PECVD膜的設備)尤其有益。通常底塗層係用於幫助減少腔室表面上的金屬汙染物與引起缺陷的粒子形成。此等粒子會隨著膜層沉積而從腔室表面成片剝落並飄降到基板上,因而產生不希望的膜層雜質並增加元件故障的可能性。使用底塗層的另一優點在於其有助於穩定腔室內部的阻抗。
形成腔室底塗層的方法涉及透過PECVD程序以在腔室表面上沉積未受摻雜的矽酸鹽玻璃(undoped silicate glass,USG)。此程序通常在腔室內沒有任何基板存在的情況下進行。用於沉積USG的反應物包括矽烷(SiH4 )與一氧化二氮 (N2 O) 。可惜,此反應會因為與一氧化二氮之使用有關的電漿加熱效應而提高反應腔室的溫度。當反應腔室維持在100°C或低於100°C時,則電漿加熱效應會是明顯實質的。例如,底塗層沉積可將反應腔室溫度提高約4-8°C。對於反應腔室溫度的效應明顯影響了晶圓與晶圓間的均勻性。為了穩定反應腔室的溫度並改善均勻性,可在沉積底塗層後與處理任何基板前使用長時間停機 (例如至少約10分鐘)。用於穩定腔室溫度的另一方法係使一或更多「虛設晶圓」在反應腔室內運轉經過若干沉積的循環。虛設晶圓可以是非打算用於最終元件的較低成本基板。此兩方法均會使生產程序減速並降低生產量。
除了長時間的停機及/或虛設晶圓的使用,CVD式底塗層的形成方法通常需要多次沖洗腔室以移除形成USG的反應物。若未進行這些沖洗,則矽烷與一氧化二氮可能殘留於反應腔室而當腔室用於在基板上沉積膜層時則引發不需要的反應。此等多次沖洗的操作增加了所需的處理時間並減少了生產量。
關於CVD式底塗層沉積程序的進一步問題在於,由PECVD式之USG底塗層覆蓋的區域和例如由PEALD所沉積之膜層覆蓋的區域之間有不匹配的可能性。例如,PEALD反應腔室可由PECVD式的USG底塗層所塗佈。CVD式的底塗層將覆蓋反應腔室的某些部分,但可能未充分覆蓋腔室的其他部分。接著當反應腔室用於在基板上沉積PEALD式膜層時,某些PEALD式膜層將沉積在反應腔室的底塗層上。因為PECVD膜與PEALD膜係由不同的機制所形成,故兩膜層的覆蓋率可能有所不同。例如,PEALD式膜層可能擴展到PECVD式膜層未及的反應腔室區域。此覆蓋率的不匹配性會在腔室內造成剝落,從而影響晶圓內及晶圓與晶圓間兩者的均勻性。
此外,存在於PEALD式膜層下方的PECVD式膜層會導致兩膜層之間的組成及/或應力不匹配。此等不匹配亦會造成粒子剝落的問題。有鑑於此,故存在著沉積反應腔室底塗層之改良方法的需求。
底塗層係定義為,於反應腔室內處理基板前所形成在反應腔室內表面的膜層。底塗層與正常沉積程序(材料沉積到基板)期間所發生的膜層增長有所區別。與發生在基板沉積期間的增長相反,底塗層通常在反應腔室沒有任何基板存在的情況下沉積。而且,底塗層可直接沉積在腔室的裸表面(例如鋁)上或在腔室表面的永久性層體(例如氟化鋁)上,如過基板沉積期間所沉積的膜層沉積在先前形成的底塗層上,則該膜層通常不會與這些表面接觸。基板是可安插並從反應腔室移除的材料固體片,該基板並非反應腔室的一部分且在其上有膜層沉積並通常要求在其上有膜層沉積。在半導體元件製造的情境中,半導體晶圓(在其上有或沒有膜層沉積)是典型的基板。許多情況中,基板是盤型並具有例如200、300或450mm的直徑。基板通常經歷許多回合的處理才成為半導體元件。然而其他某些基板並非打算成為完整功能性的元件。這些基板可稱為虛設晶圓,並可用做例如評估沉積程序的測試媒介或做為使反應腔室平衡的犧牲基板。在沉積底塗層時,不含基板的腔室使底塗層得以均勻地塗布腔室表面。
底塗層亦與某些測試循環期間所可能沉積在腔室表面上的層體有所區別,例如在未有任何基板存在的情況下運行相對少數的循環沉積程序。一差異在於底塗層的形成程序係反覆多次以形成膜層的最低所需厚度。此最低厚度對於確保底塗層能夠如需求般地作用(例如將剝落和粒子形成降到最低以及使均勻性最大化)至為重要。
底塗層可因為有助於減少金屬汙染物與粒子剝落,以及因為有助於反應腔室的阻抗平衡而改善均勻性。在缺少底塗層的反應腔室內所沉積的膜層往往有大量金屬汙染物的問題。許多情況下的反應腔室本身係以金屬(例如鋁)製成。當未受塗佈的金屬腔室暴露於電漿時,可能有少量金屬從腔室表面上移動而停落在基板膜層上。這些金屬雜質對形成在基板上的元件效能有負面影響並在某些情況下會導致元件故障。腔室底塗層在沉積CVD式膜層(透過氣相反應沉積)時對平衡阻抗尤其有用。因為反應腔室由金屬製成而沉積在基板上的膜層往往是介電材料,故反應腔室的阻抗隨著介電質沉積而改變。故藉由設置底塗層可使腔室阻抗在處理任意基板前變得較為穩定,從而將程序的均勻性最大化。
沉積腔室底塗層的某些方法涉及利用矽烷與一氧化二氮之PECVD方法的使用。由於與一氧化二氮之使用相關的電漿加熱效應,故此方法會將反應腔室溫度提高約4-8°C。加熱效應對於以約100°C或低於約100°C所進行的膜層沉積方法尤其麻煩。電漿加熱效應在此等低沉積溫度下更加顯著。為了以均勻方法處理基板並具有均勻結果,CVD式的底塗層方法通常在底塗層沉積與在剛塗佈之反應腔室中的基板膜層沉積之間需要頗長的停機時間(例如至少約10分鐘),及/或需要使用虛設沉積晶圓以穩定腔室溫度。此外還可能要進行多次的大規模沖洗以在處理基板前從反應腔室移除全部的矽烷與一氧化二氮化學物。否則這些反應物會在腔室中引發不需要的反應而導致膜層的不均勻性。此停機時間/虛設晶圓/沖洗均會造成相對冗長的處理時間並減少生產量。
當CVD式底塗層用於ALD反應腔室時,可能會產生額外的問題。例如當腔室用於在基板上沉積膜層時,在CVD式底塗層與沉積在底塗層表面上的ALD式膜層間可能有覆蓋率的不匹配。在CVD式底塗層與底塗層上的ALD式膜層間可能也有組成及/或應力程度的不匹配。這些不匹配可能引起粒子於較低程度的膜層增加形成/剝落。不幸地,這意味反應腔室必須相當頻繁地予以清潔。在清潔時間期間,反應腔室無法用於在基板上沉積膜層。所呈現之實施例的態樣提供展現出較佳之粒子形成/剝落效果的底塗層。藉由減少剝落問題,反應腔室就不須如此頻繁地清潔,並可在後續的反應腔室清潔操作之間處理更多的基板且能夠將生產量最大化。
圖1呈現在反應腔室中處理基板的方法流程圖。圖1所示的方法對應於沉積PECVD式底塗層的程序。在典型的處理方法中,反應腔室在操作101的開端為「乾淨」的。這代表沒有底塗層或其他非永久性的沉積膜存在於腔室表面上。然而此時可能在反應腔室上有永久性的材料層(例如氟化鋁)。氟化鋁層可能是藉由例如將遠端產生的氟電漿引入反應腔室而在先前操作中所形成的。反應腔室的鋁質表面可能與氟電漿反應而形成氟化鋁層。此氟化鋁層實際上是永久性的,而且通常不會在之後的清潔操作中受到移除。具有永久性材料(像是氟化鋁)層的反應腔室可視為「乾淨」的腔室。
在101的底塗層沉積程序期間,矽烷及一氧化二氮同時流入反應腔室。在反應腔室中激發電漿,驅動矽烷及一氧化二氮之間的氣相反應以形成未受摻雜的矽酸鹽玻璃(undoped silicate glass (USG))。某些情況中,電漿由RF電漿產生器所產生,且用於驅動產生器的RF功率約為1800W的數量級(在四個站點中分配,沉積於直徑300mm的基板上)。反應腔室表面變為覆鍍有USG底塗層膜。成為受塗佈的表面範例包括腔室壁/頂蓋/底層、台座、基板載具環、噴淋頭、排氣系統、流體管線、泵浦、心軸、晶圓傳輸手臂、填隙板、第二吹洗軸環等等。某些表面可能在CVD式底塗層沉積期間並未受有均勻的底塗層。例如非金屬的表面尤其可能受到不充分或不均勻的覆蓋。某些情況中,此等非金屬的部件包括基板載具環與像是升降手臂/升降銷的相關器件。此等非金屬的部件往往在金屬部件開始剝落前遭受剝落。此較早的剝落可能肇因於CVD沉積的底塗層與基板沉積期間沉積於底塗層上之膜層間的覆蓋率、組成及/或應力的不匹配。可製成載具環與其他零部件的非金屬材料範例包括陶瓷材料。
操作101期間,反應腔室的溫度可能會上升,尤其是如果腔室正維持於較低的溫度時(例如低於約100°C)。起伏的溫度可能對晶圓與晶圓間的均勻性有不利影響,因為後續的基板將隨著腔室溫度趨於穩定而在不同的溫度下受到處理。
為了減少非均勻性的問題,方法100可在處理任何有價值的基板之前以操作103繼續,此操作中容許反應腔室的溫度改變為所希望的穩定位準。反應腔室在此等待期間的可能處於閒置。替代地或除此之外地,反應腔室可用於在一系列的虛設晶圓上沉積膜層,該等虛設晶圓係非意欲在最終產品中使用、成本相對較低的基板。
在操作105,反應腔室受到多次吹洗/沖洗以移除任何不需要的反應物。許多情況中,用於沉積PECVD式底塗層之反應物的一或更多者與用於在基板上沉積膜層的反應物並不相容。此種化學性質的不匹配在底塗層透過CVD方法所沉積而反應腔室用於在基板上沉積ALD或CFD膜層時尤其可能發生。此不匹配會在基板膜層沉積期間引發不需要的反應。為了避免這些不需要的反應,故多次吹洗反應腔室以移除任何不需要的反應物。此吹洗可包括將未含欲自腔室移除之物種的氣體流動。替代地或除此之外地,吹洗可包括將反應腔室抽空。操作105可發生在操作103之前、期間或之後。
在操作106,將用於在基板上沉積膜層的反應物預先流入反應腔室以對腔室表面預塗佈。這通常在反應腔室中有任何基板存在以前完成。此預塗布藉由將氣體輸送器件(例如液體化學物注入器、氣體輸送管線等等)及設備的其他部分暴露於沉積期間的反應物而助於在氣體輸送器件及設備的其他部分上塗布。
接著,在操作107有一系列的基板在反應腔室中受到處理。某些情況中,材料透過ALD或CFD方法沉積在基板上。隨著膜層在基板上沉積,膜層也在反應腔室的內表面上沉積。膜層在諸多基板的處理過程中增長,最終開始脫落或成片剝落。在以方法100沉積USG底塗層至厚度約1µm的範例中,約有250個基板可在到達腔室之總累積限度以前受到處理。此限度對應於(但並不完全代表) 正好在任何膜層剝落警訊前之時間點上沉積在腔室表面的膜層量(包括底塗層)。腔室的總累積量未必是腔室表面之膜層量的直接量測值。而在某些實施例中,累積量計數器根據每循環所預期的沉積厚度追蹤膜層的增長量。腔室的總累積限度可根據程序的性能而針對特定的系統選擇。例如,腔室的總累積限度可設定為剛好在腔室開始遭受剝落的累積量計數器值以下。
接在處理基板之後,反應腔室受到操作109中的就地清潔程序以移除所沉積的膜層和底塗層。清潔程序通常在反應腔室內無基板存在的時候進行。許多情況中,腔室清潔程序可涉及引入與沉積膜層反應的氣相物種(例如含氟化合物)以形成可受吹洗離開腔室的揮發性產物。然後可在剛受清潔的腔室內(on->in,未函Q)重複處理的方法。
所揭露的實施例可使用ALD式的底塗層。某些實施例中,底塗層可由相同於沉積在反應腔室之基板上的材料所組成。範例的膜層種類包括受摻雜或未受參雜的氧化物、氮化物、碳化物、氮氧化物、碳氮化物、硼化物、貴金屬以及高-k材料,例如包括鑭系元素氧化物、四族金屬氧化物及五族金屬氧化物。氧化物包括廣泛類別的材料,包括未受摻雜的矽酸鹽玻璃(USG)以及受摻雜的矽酸鹽玻璃。受摻雜之玻璃的範例包括摻有硼的矽酸鹽玻璃(boron doped silicate glass (BSG))、摻有磷的矽酸鹽玻璃(phosphorus doped silicate glass (PSG))以及摻有硼磷的矽酸鹽玻璃(boron phosphorus doped silicate glass (BPSG))。某些實施例中,底塗層為矽氧化物、矽氮化物或矽碳化物。矽氧化物的底塗層在反應腔室係用於在基板上沉積矽氧化物膜時尤為有用。然而,在用於在基板上沉積其他種類之膜層的腔室中也可使用矽氧化物底塗層。某些實施例中,底塗層藉由相同於有關反應腔室中之基板膜層沉積的程序及程序條件所形成。這些部分相同的程序條件可包括特定的反應物、時間點、RF功率/頻率、溫度及/或壓力。
按照某些所揭露的實施例,圖2呈現在反應腔室中處理基板的方法流程圖。圖2所示的方法有別於圖1所示者,因為底塗層係由ALD方法所形成而非CVD方法。這導致了多個處理操作的避免。程序200始於沉積ALD式底塗層的操作201。如同圖1,反應腔室在操作201的開端通常為乾淨的。沉積程序201涉及循環地將第一反應物引入腔室並使其吸附至腔室表面上、在第一反應物吸附於腔室表面時,將第二反應物引入腔室、以及將腔室暴露於電漿以驅動在第一及第二反應物之間的表面反應。在透過CFD方法沉積底塗層的某些實施例中,可持續供應反應物的其中一者。底塗層的沉積201也可涉及在一或二者反應物均引入之後及/或在電漿暴露之後的一或更多吹洗操作。底塗層沉積程序201可參照圖3及4進一步說明。實施底塗層沉積程序201的範例程序條件在以下進一步說明,然而實施例並不限於這些特定的條件。
使用ALD式底塗層的情況下,有可能減少或排除參照圖1所說明的若干處理步驟需求。例如,操作103(等待腔室溫度下降)、105(吹洗反應腔室以移除不需要的反應物)以及106(將反應物預先流動以對腔室預塗布)可在某些實施例中予以排除。其他實施例中,則可進行這些操作中的一或更多者。這些情況中,所列之操作的一或更多者可比習知的設定要更快的完成。例如,CVD式底塗層的沉積程序可能將反應腔室的溫度提高約4-8°C,而ALD式底塗層的沉積程序則將反應腔室的溫度提高至一較低的位準(在許多情形中係例如約少於1°C)。就此而論,若需要使反應腔室溫度得以平衡的任何等待,則此等待時間將大幅短於CVD式底塗層沉積程序所需的等待時間。某些實施例中,此反應腔室將受到一或更多次的吹洗,而反應物可在操作201與207之間預先流至反應腔室。可持續此等操作以便有所幫助,尤其是使用不同反應物沉積底塗層和基板膜層的情況。然而在使用相同反應物沉積底塗層和基板膜層的實施例中,這些吹洗及預流動的步驟可予以排除。
程序200以操作207繼續,其中材料如所需地沉積在反應腔室的基板上。某些實施例中,材料透過ALD或CFD方法沉積在基板上。如上述,用於基板膜層沉積的程序及程序條件可相同於用於沉積底塗層的程序及程序條件。
接著,一旦到達腔室的總累積限度並開始或可能開始發生剝落,則移除任何存在於反應腔室內的基板,然後清潔腔室。清潔程序移除在基板沉積期間所沉積的膜層增長以及底塗層兩者。在操作209結束時,反應腔室為乾淨的並可重複程序200。
儘管以上的說明集中在處理多量晶圓情境中的ALD式底塗層沉積,但其他的實施例可針對此程序之較為限定的部分。例如,某些實施例係在單一清潔程序的情況中與ALD式底塗層的沉積相關。例如,清潔程序可包括接續有圖2之操作201的操作209。此實施例中,反應腔室在程序的最初以膜層覆蓋(可能但未必是底塗層)。反應腔室根據說明於本文其他之處的清潔方法所清潔。清潔程序會移除存在於腔室表面的任何膜層和底塗層。清潔腔室後,透過參照操作201所說明的ALD式方法沉積新的底塗層。
另一實施例係關於在基板上沉積膜層的方法,包括接續有圖2之操作207的操作201。此實施例的方法始於乾淨的反應腔室。底塗層透過參照操作201所述的ALD式方法沉積。接著將基板裝載至反應腔室中,然後在操作207中將膜層沉積於其上。此操作可如所需地藉由移除基板及處理額外的基板而持續。此實施例中可能並未進行反應腔室的清潔。
另一實施例係針對在反應腔室中沉積ALD式底塗層的方法,如同參照圖2之操作201所述。此實施例可在反應腔室中未置有基板的情況下實施。也可將此稱為「無晶圓的ALD底塗層沉積方法」。如同所述,此方法參照圖3及4而進一步論述。
ALD式底塗層的使用因為若干原因而有其優勢。第一,ALD式底塗層可在不提高反應腔室溫度的情況下沉積。因為腔室溫度處於相對固定的狀態,故改善了晶圓與晶圓間的均勻性。某些實施例中,反應腔室的溫度在底塗層沉積期間不會增加超過2°C(例如不超過1°C)。當底塗層沉積程序期間的溫度增加低於1°C時,則可將程序視為「等溫」。溫度穩定的ALD式反應減少或消弭了用於使腔室溫度穩定的冗長停機時間或虛設晶圓的需求。除此之外,ALD式底塗層和沉積在基板上的ALD式膜層兩者可使用相同的化學物組所形成。這消弭了「在基板膜層沉積以前進行反應腔室之多次沖洗」的需求。
使用ALD式底塗層的另一優點在於它們達到比CVD式底塗層更佳的剝落/粒子效果。以下的實驗部分提供了相關於反應腔室中之粒子表現的數據,其中反應腔室具有CVD式或ALD式的底塗層。扼要總結實驗的結果:2000Å厚的ALD式矽氧化物底塗層使腔室得以比具有2µm厚之CVD式USG底塗層的腔室處理約多三倍的基板。受處理之基板的上限數目由反應腔室內之剝落/粒子形成所決定(亦即,腔室的總累積限度)。ALD式底塗層在剝落發生之前達到較高的腔室總累積限度。較高的總累積限度以及在腔室清潔操作之間所對應之受處理基板數目增加對於生產量的增加有所貢獻。腔室清潔程序往往在約20-90分鐘之間完成,而在此期間反應腔室無法用於處理基板。相比於在較低溫度所發生的清潔,以較高溫度進行清潔操作可處理的較快。又,較厚的膜層增長需要較長的清潔時間。用於移除2µm材料的50°C清潔操作可能需要約40分鐘,然而用於移除4 µm材料的相同清潔操作可能需要90分鐘。在像是400°C的較高溫度,4 µm的材料層可在約20分鐘內清潔/移除。為了使相關於清潔操作的必要停機時間降到最低,使腔室清潔操作之間能受到處理的基板數目最大化係有所裨益的。
ALD式底塗層的相關優點在於它們可在遠低於CVD式底塗層所需厚度的底塗層厚度下達到足夠的剝落/粒子效果。因為較薄的底塗層需要較少的材料,且因其可使額外的基板在到達腔室的總累積限度之前得以受到處理,故希望有能對生產量有正面影響的較薄底塗層。
不希望受理論約束的情況下(?),吾人相信改良的粒子效果可能是因為在底塗層與基板沉積期間所沉積於底塗層上之膜層間的相似性提高之故。增加的相似性可能與膜層的覆蓋率、膜層的組成、膜層應力等有關。吾人相信剝落更有可能發生在不同種類之膜層之間的介面處,且不同膜層間之較高程度的相異性會增加剝落發生的可能性。粒子的形成可藉由增加底塗層與沉積於底塗層上之膜層間的介面膜層相似性而減到最低。
ALD式底塗層的缺點在於它們需要相對較長的時間沉積。例如,CVD式底塗層可能需要約3分鐘沉積,而ALD式底塗層可能需要15分鐘沉積。增加的沉積時間來自於反應物的完全處理與ALD沉積程序的循環本質。鑒於CVD式底塗層可藉由存在有電漿的情況下將例如矽烷及一氧化二氮輸送至基板而以單一步驟形成,ALD式沉積則較為複雜。因為ALD式底塗層需要遠為更長的時間沉積,故認為此等底塗層會導致處理時間的增加以及生產量的減少。
然而,吾人意外地發現,ALD式底塗層展現出有利於在相繼的腔室清潔操作之間增加可受處理之基板數目的較佳剝落/粒子形成效果。而且,「ALD式底塗層可在遠薄於先前以CVD式底塗層所使用的底塗層厚度下令人滿意地運行」是前所未知的。鑒於CVD式底塗層可能需要約1-2µm厚的厚度以得到滿足需要的效果(以緩慢增長的循環ALD程序沉積可能需要極長的時間),但要令人滿意地進行ALD式底塗層不需要此相對較大的厚度。滿足需求的ALD式底塗層效果反而可在約0.1-0.2 µm厚的底塗層厚度下完成。此厚度比CVD式底塗層所需的厚度要低大約一個數量級。ALD式與CVD式底塗層的效果比較顯示在以下的實驗部分中。雖然ALD式底塗層會使生產減緩並且減少生產量,但由使用ALD式底塗層沉積方法所帶來之意料外的優點比增加的底塗層沉積時間更有價值,而且還可導致生產量的整體增加。
圖3提供在乾淨的反應腔室中沉積ALD式底塗層的方法流程圖。如上述,乾淨的反應腔室可在內表面上具有氟化鋁或其他材料的永久層。方法300始於將第一反應物引入反應腔室的操作301。反應腔室在沉積底塗層時未含有基板。第一反應物通常在操作301期間吸附到反應腔室表面上而形成反應物材料的層體。雖非必然,但此層體通常是反應物材料的單屬層。接著在操作303,當第一反應物吸附到反應腔室表面上時,將第二反應物引入反應腔室中。此第二反應物亦可吸附到反應腔室表面上而形成第二反應物的單屬層。接著在操作305,將反應腔室暴露於電漿以驅動在第一及第二反應物之間的表面反應。這在反應腔室的內表面上形成了一底塗層的層體。此底塗層可能與下方的鋁質腔室部件直接接觸或者與下方的氟化鋁層直接接觸。某些實施例中,可在操作301、303及/或305之後進行一或更多吹洗操作。吹洗可包括以不含受吹洗之物種的氣體掃掠(sweep)反應腔室。替代地或除此之外的,吹洗可包括將反應腔室抽空並運轉泵浦以降至低壓(例如低於約1Torr)。
應當注意,儘管圖2與3以第一及第二反應物作為參照,在某些實施例中可將單一反應物循環引入、使其吸附及分解而形成ALD式底塗層。某些實施例中則可更進一步引入一或更多額外反應物(例如第三反應物)以用於例如形成第三膜層。
圖4提供沉積ALD式矽氧化物底塗層的範例方法流程圖。方法400始於將含矽反應物引入反應腔室的操作401。一範例中,含矽反應物為BTBAS(二(三丁基氨基)矽烷,(SiH2 (NHC(CH3 )3 )2 )。含矽反應物可用每分鐘約1.5-2.5 mL的速度提供(例如約每分鐘1mL)。可在約0.1-0.5秒的期間提供含矽反應物(例如約0.2秒)。含矽反應物吸附到反應腔室的表面上。反應腔室的溫度(例如基板支架的溫度)可維持在約25-450°C之間。接著停止含矽反應物流並在操作402中對反應腔室吹洗。吹洗移除了未強力吸附於反應腔室表面的任何殘存含矽反應物。吹洗可藉由將非反應的氣體流入反應腔室及/或藉由將反應腔室抽空而發生。某些實施例中,反應腔室受到期間約在0.1-0.5秒之間的吹洗(例如約0.2秒)。接著在操作403,對反應腔室提供氧化反應物。在特定範例中,氧化反應物係相同或實質相同流量的O2 /N2 O混合物(以每分鐘標準公升(SLM)所計量)。某些實施方式中,氧化反應物係約60%或更少的N2 O,或約50%或更少的N2 O(以SLM計量)。氧化反應物的整體流速可在約10-40 SLM之間。O2 與N2 O的流速分別在5-20 SLM之間(例如約10 SLM)。可對反應腔室提供期間約在0.05-0.75秒之間的氧化反應物(例如約0.3秒)。接著在操作405中,腔室可暴露於電漿以驅動含矽反應物及氧化反應物之間的反應。某些實施例中,電漿由RF電漿產生器產生。RF產生器可對每站點提供約100-2500W(例如每站點約125W)以產生電漿。某些實施例中,操作403與405同時或部分同時發生。電漿暴露的持續期間可在約0.05-0.75秒之間(例如約0.3秒)。接著在電漿消失後反應腔室可受到吹洗(圖未示)。吹洗可持續存在約0.05-0.5秒的期間(例如約0.15秒)。所提供的流速、時間及反應物僅為範例而非意欲限制。
氧化反應物流或其他共同反應物流可為連續的或受到脈衝。在連續流動的某些情況中,此流動可以週期性地轉向離開反應腔室。此情況中,雖然氧化反應物流為連續,但其進入反應腔室的流動為脈衝的。某些實施例中雖使用連續的氧化劑流,但僅在可能發生電漿暴露的氧化劑輸送脈衝期間將氧化劑流引入反應腔室中。在其他時間中,氧化劑流可轉往處理設備的另一部份。
ALD及CFD式的沉積方法係進一步說明在申請於2011年4月11日、標題為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利公開案第13/084399號,該案在此全文併入作為參考文獻。雖然此13/084399 號公開案著重於基板上的膜層沉積,此教示也適用於腔室中無基板存在時的反應腔室底塗層沉積。 反應物
所揭露的實施例可隨多樣的反應物使用以形成各類底塗層與膜層。雖然某些實施方式說明於BTBAS結合氧與一氧化二氮的情況中,但實施例並非如此受限。任何適宜的含矽反應物及氧化劑均可用於矽氧化物的底塗層及膜層沉積。同樣地,為得到矽氮化物底塗層和膜層沉積,可使用任何適宜的含矽反應物及含氮反應物。此外,為獲得金屬氧化物或金屬氮化物的底塗層及膜層沉積,可使用任何適宜的含金屬反應物及共同反應物。本文的技術有利於實現廣泛多樣的膜層化學物。
某些實施例中可使用含矽反應物。含矽反應物可包括例如矽烷、鹵矽烷或氨基矽烷。矽烷含有氫基及/或碳基但不含鹵素。矽烷的範例為甲矽烷(SiH4 )、二矽烷(Si2 H6 ),以及像是甲基矽烷、乙基矽烷、異丙基矽烷、t-丁基矽烷、二甲基矽烷、二乙基矽烷、雙-t-丁基矽烷、丙烯基矽烷、二級丁基矽烷、叔己基矽烷、異戊基矽烷、t-丁基二矽烷、雙-t-丁基二矽烷以及其他類似物的有機矽烷。鹵矽烷含有至少一鹵基並可能含有或未必含有氫基及/或碳基。鹵矽烷的範例為碘基矽烷、溴基矽烷、氯基矽烷及氟基矽烷。雖然鹵矽烷(尤其是氟基矽烷)可能形成會蝕刻矽材料的反應鹵化物物種,但在此說明的某些實施例中,在電漿觸發時並未存在有含矽反應物。特定的氯基矽烷為四氯矽烷(SiCl4 )、三氯矽烷(HSiCl3 )、二氯矽烷(H2 SiCl2 )、一氯甲矽烷(ClSiH3 )、氯基丙烯基矽烷、氯基甲基矽烷、二氯基甲基矽烷、氯基二甲基矽烷、氯基乙基矽烷、t-丁基氯基矽烷、雙-t-丁基氯基矽烷、氯基異丙基矽烷、氯基-二級-丁基矽烷、t-丁基二甲基氯基矽烷、叔己基二甲基氯基矽烷及類似物。氨基矽烷包括至少與矽原子鍵結的一氮原子,但也可含有氫、氧、鹵素及碳。氨基矽烷的範例為單-、雙-、三-及四-氨基矽烷(分別為H3 Si(NH2 )4 , H2 Si(NH2 )2 , HSi(NH2 )3 與 Si(NH2 )4 ),以及替代的單-、雙-、三-及四-氨基矽烷,例如t-丁基氨基矽烷、甲基氨基矽烷、三級-丁基矽烷胺、二(三丁基氨基)矽烷((SiH2 (NHC(CH3 )3 )2 (BTBAS))、三級丁基矽基氨基甲酸脂、SiH(CH3 )-(N(CH3 )2 )2 、SiHCl-(N(CH3 )2 )2 、(Si(CH3 )2 NH)3 及類似物。氨基矽烷的進一步範例為三矽基胺(N(SiH3 )3 )。
其他情況中,沉積膜層含有金屬。可形成的含金屬膜層包括鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等等的氧化物與氮化物,以及元素態的金屬膜。範例的前驅體可包括金屬烷基胺、金屬醇鹽、金屬烷基醯胺、金屬鹵化物、金屬β-二酮化物、金屬羰、有機金屬化合物等等。適宜的含金屬前驅體將包括欲含入膜層的金屬。例如,含鉭層可藉由將五(二甲基醯胺基)鉭與氨或另一還原試劑反應而沉積。可使用之含金屬前驅體的進一步範例包括三甲基鋁、四乙氧基鈦、四-二甲基-醯胺基鈦、鉿四(乙基甲基醯胺基)、雙(環戊二烯基錳、雙(n-丙基環戊二烯基)鎂等等。
某些實施例中,沉積膜層包含氮並使用含氮反應物。含氮反應物至少包含一氮,例如氨、聯氨、像是甲基胺、二甲基胺、乙基胺、異丙基胺、t-丁基胺、二-t-丁基胺、環丙基胺、二級-丁基胺、環丁基胺、異戊胺、2-甲基丁烷-2胺基、三甲基胺、二異丙基胺、二乙基異丙基胺、二-t-丁基聯氨的胺類(例如載有碳的胺),以及含有胺的芳香族,像是苯胺、啶以及苯胺。胺可以是第一級、第二級、第三級或第四級的(例如四烷基銨化合物)。含氮反應物可含有除氮之外的異原子,例如氫氧基胺、t-丁基氧羰基胺以及N-t-丁基氫氧機胺均為含氮反應物。
在某些實施方式中係使用含氧反應物。含氧反應物的範例包括氧、臭氧、一氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、硫氧化物、二氧化硫、含氧的氫碳化物(Cx Hy Oz )、水、及其混合物等等。 時間點
圖3和4及其對應的說明揭露了透過ALD式方法沉積底塗層的概要。某些情況中,可持續輸送反應物的其中一者 (例如即使在其他反應物的輸送期間及/或電漿暴露期間)。持續流動的反應物可與載體氣體(例如氬、氦等等)一起輸送到反應腔室。在沉積氧化物或氮化物底塗層的實施例中,通常持續流動的反應物將是含氧或含氮的反應物。持續輸送反應物的沉積法可稱為保形膜沉積(Conformal film deposition (CFD))。此等CFD方法係在本實施例的範疇中。其他情況下,所有的反應物均可以脈衝輸送。在此情況下,反應物的脈衝可用交替方式輸送到反應腔室,使得在某一特定時刻僅將單一反應物引至反應腔室。
如上述,ALD式底塗層的使用可減少或消弭「等待反應腔室溫度在底塗層沉積與基板沉積之間平衡」的需求。ALD式底塗層也可消弭「多次沖洗反應腔室以移除不需要的底塗層反應物」的需求,以及「將用於在基板上形成膜層的反應物預先流動」的需求。就此而論,某些實施例中的膜層可在形成底塗層之後旋即於反應腔室中的基板上沉積。某些情況下,於底塗層形成後的約5分鐘內將用於在基板上形成膜層的第一反應物輸送到在其內具有非虛設基板的反應腔室。某些實施例中,在終止底塗層形成程序與輸送用於形成基板膜層之反應物間的時間可降為少於約3分鐘,或少於約1分鐘,或少於約30秒。 ALD沉積期間的反應腔室條件
所揭露的實施例並未限於與特定的反應腔室溫度、壓力等一起使用。在底塗層沉積期間及/或基板膜層沉積期間的典型反應腔室溫度範圍從約25-450°C。然而,所揭露之實施例的生產量優勢對於發生在相對較低溫度下(例如低於約200°C,在某些情況中低於約100°C)的反應腔室基板膜層沉積程序可能最為有效。這些或其他的情況中,溫度可至少為25°C。某些情況中,ALD式底塗層可與用於在高溫下將膜層沉積到基板的反應腔室一起使用。因ALD式底塗層可容許程序在高於使用CVD式底塗層的可行溫度下發生,故在此等高溫下有其優勢。換言之,ALD式底塗層可擴展沉積在基板上之ALD式膜層的允許處理範圍。
底塗層沉積期間及/或基板膜層沉積期間的反應腔室壓力可在約1-10 Torr之間。 ALD沉積期間的電漿產生條件
在PEALD操作中,基板暴露於電漿以驅動在第一與第二反應物之間的反應。可使用多種電漿來驅動此反應,包括電容式耦合電漿及感應式耦合電漿。可使用各類電漿產生器,包括RF、DC及微波電漿產生器。此外,根據各實施例,電漿可以是直接或遠端的。
使用RF電漿產生器時,每一站點的RF功率可在100-2500 W之間(以300mm直徑的晶圓計算)。此功率位準與基板面積呈線性比例,並可根據其他尺寸的基板成比例。例如,對300 mm晶圓的每站點500W RF功率可相當於對450mm晶圓的每站點1125W RF功率。用於驅動電漿的RF頻率可具有高頻(high frequency (HF))及/或低頻(low frequency (LF))成分。HF的RF頻率範例可包括但不限於在約1.8 MHz-2.45 GHz之間的頻率。常見的HF頻率包括13.56 MHz與27 MHz。LF頻率的範例包括但不限於在約50-500 kHz之間的頻率。某些實施例中僅使用HF頻率。其他實施例中,除了HF頻率之外還使用LF頻率。其他實施例中則僅使用LF頻率。
用於產生電漿的氣體可有所變化。某些實施例中,電漿可在反應物的其中一者(例如含氧或含氮反應物)以氣體形式存在反應腔室內的時候激發,使得電漿由此反應物產生。替代或額外的,電漿可由惰性氣體產生。 清潔反應腔室
所揭露的實施例並不限於任何特定的腔室清潔方法。能移除所有或實質上所有沉積膜層及底塗層的任何方法均可使用。腔室清潔方法進一步論述並說明在以下的美國專利及專利公開案中,其每一者均為了所有的目的而在此全文併入:標題為「METHOD FOR ENDPONTING CVD CHAMBER CLEANS FOLLOWING ULTRA LOW-K FILM TREATMENTS」的美國專利第7479191號;標題為「METHODS AND APPARATUS FORCLEANING DEPOSITION REACTORS」的美國專利第8262800號;申請於2009年1月16日、標題為「PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER」的美國專利公開案第12/355,601號;以及申請於2012年10月17日、標題為「METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS」的美國專利公開案第13/654303號。
有兩種普遍用於清潔沉積腔室的腔室清潔方法。這些包括電漿清潔方法(有時稱為乾式清潔方法)及濕式清潔方法。
電漿清潔程序可使用就地腔室清潔或遠端腔室清潔所完成。在就地腔室清潔中,將氣態腔室清潔化學物引入反應腔室,而反應腔室內的電漿激發則用於將腔室清潔化學物解離為反應自由基和離子。在遠端清潔中,使用獨立的電漿源以在反應腔室外將氣態腔室清潔化學物解離為強力反應自由基和離子,接著將解離的腔室清潔化學物引入反應腔室。在就地及遠端腔室清潔兩者中,腔室內的反應物種與累積的沉積膜層及底塗層反應形成將從腔室予以抽出的氣態產物,許多情況中,腔室清潔反應物包括含氟物種,例如可選擇性地與惰性氣體(像是He或Ar)混合的NF3 。使用含氟清潔化學物時,存在於腔室的SiO2 可轉變為能由吹洗(例如掃掠及/或抽空)反應腔室而移除的揮發性物質SiF4
若干電漿清潔循環後,基板沉積程序的品質可能會下降而使用濕式清潔。濕式清潔程序中,將反應腔室對大氣開孔且使用適當的清潔溶液(例如異丙醇(IPA)及/或水)及/或研磨料而物理性地擦洗反應器內表面。濕式清潔將未能以電漿清潔方法有效清除之任何厚層及已剝落的累積物移除。此類型的清潔方法耗時耗工,而且在完成之後需要腔室重整。例如,濕式清潔方法可移除在底塗層以下的鋁氟化物層。就此而論,須得謹慎使用濕式清潔方法,並在可行的情況下盡量使用電漿清潔方法。 設備
可察知「任何適合的程序站點可隨上述的實施例一起使用」。例如,圖5A概要顯示出ALD/CFD程序站點1300的實施方式。為簡明起見,故將程序站點1300繪製為具有用於維持低壓環境之程序腔室體1302的分立程序站點。然而,「複數個程序站點1300可包括在常見的處理設備環境中」是可察知的。例如圖6就繪製了多站點處理設備2400的實施例。而且在某些實施例中,還可察知到「一或更多程序站點1300的硬體參數(包括以上詳述者)可由一或更多電腦控制器而程式化地調節」。
ALD/CFD程序站點1300流體相通於反應物輸送系統1301以將程序氣體輸送到分配噴淋頭1306。反應物輸送系統1301包括用於混和及/或處理輸送至噴淋頭1306之程序氣體的混合容器1304。一或更多混合容器流入閥1320可控制程序氣體引至混合容器1304。
像是BTBAS的某些反應物可在蒸發及其後輸送至程序站點以前用液體形式儲存。例如,圖5A的實施例包括用以使提供至混合容器1304的液體反應物蒸發的蒸發處1303。某些實施例中,蒸發處1303可以是加熱的蒸發器。由此蒸發器所產生的飽和反應物蒸氣可能在下游的輸送管道中凝結。將不相容的氣體暴露於凝結反應物可能產生微小粒子。這些微小粒子可能堵塞管道、妨礙閥門操作、汙染基板等等。解決這些問題的某些方法涉及掃掠及/或將輸送管道抽空以移除殘存反應物。然而,掃掠輸送管道可能增加程序站點的循環時間、降低程序站點的生產量。因此在某些實施例中,可對蒸發處1303之下游的輸送管道沿線加熱。某些範例中,也可對混合容器1304沿線加熱。在非限定的範例中,蒸發處1303之下游的管道具有從約攝氏100度擴展到混合容器1304處之約攝氏150度的遞增溫度剖面。
某些實施例中,反應物液體可在液體注射器之處蒸發。例如,液體注射器可將液體反應物脈衝注入在混合容器上游的載體氣體流。一情況中,液體注射器可藉由將液體從較高壓急速降至(flash,not sure..)較低壓而蒸發反應物。另一情況中,液體注射器可使液體分裂為散布的微粒珠,接著該等微粒珠在受熱的輸送管道中蒸發。「較小的粒珠比較大的粒珠要更快蒸發,減少在液體注射與完全蒸發之間的遲延」是可察知的。較快的蒸發可減少蒸發處1303下游的管道長度。一情況中,液體注射器可直接安裝於混和容器1304。另一情況中,液體注入器可直接安裝於噴淋頭1306。
某些實施例中,可設置在蒸發處1303上游的液體流控制器以控制用於蒸發及輸送至程序站點1300的液體質量流。例如,液體流量控制器(liquid flow controller (LFC))可包括位於LFC下游的熱質量流量計(thermal mass flow meter (MFM))。接著調節LFC的柱塞閥以響應與MFM電相通的比例-積分-微分(proportional-integral-derivative(PID))控制器所提供的反饋控制信號。然而,使用反饋控制可能需要一或更多秒來穩定液體流。這可能延長液體反應物的給劑時間。因此在某些實施例中,LFC可在反饋控制模式與直接控制模式之間動態切換。某些實施例中,LFC可藉由截斷LFC的感應管及PID控制器而從反饋控制模式動態切換至直接控制模式。
噴淋頭1306將程序氣體往基板1312的方向散佈。如圖5A所示的實施例,基板1312位於噴淋頭1306的下方並顯示為安置於台座1308上。將察知到,噴淋頭1306可以有任意適合的形狀也可以有任意適合之數量及布置的埠以便將程序氣體散佈到基板1312。
某些實施例中,微空間1307可位於噴淋頭1306的下方。在微空間中而非在程序站點之整體體積中進行CFD程序可減少反應物的暴露以及掃掠時間、可減少改變程序條件(例如壓力、溫度等等)的次數、可限制程序站點機器人對程序氣體的暴露等等。微空間的範例尺寸包括但不限於0.1公升及2公升之間的體積。
某些實施例中,台座1308可升降以將基板1312暴露於微空間1307及/或改變微空間1307的體積。例如在基板傳送階段,台座1308可下降使得基板1312裝載到台座1308上。在ALD/CFD程序期間,台座1308可上升以將基板1312擺放在微空間1307內。某些實施例中,微空間1307可完全包繞基板1312與台座1308的一部份以在ALD/CFD程序期間產生高流動阻抗的區域。
台座1308可選擇性地在ALD/CFD的部分程序期間於微空間1307內下降及/或上升以調節程序壓力、反應物濃度等。在程序期間的程序腔室體1302保持於基礎壓力的情況中,降低台座1308可使微空間1307得以抽空。微空間對程序腔室體積的範例比例包括但不限於1:500及1:10之間的體積比。在某些實施例中,可察知台座高度可藉由適當的電腦控制器而程式化地調節。
另一情況中,台座1308的高度調節可變化包含於ALD /CFD程序內的電漿活化及/或處理循環期間的電漿密度。在ALD/CFD程序階段的結尾,台座1308可在另一基板傳送階段期間降低使得基板1312從台座1308移除。
儘管說明於此的微空間變化範例參照了可調節高度的台座,但在某些實施例中,可察知噴淋頭1306的位置可相對於台座1308調節以改變微空間1307的體積。而且在本揭露書的範疇內還將察知到,台座1308及/或噴淋頭1306的垂直位置可藉由任意合適的機構變化。某些實施例中,台座1308可包括用於旋轉基板1312之方向的旋轉軸。在某些實施例中,可察知此等範例調節的一或更多者可藉由一或更多適宜的電腦控制器而程式化地進行。
回到圖5A所示的實施例,噴淋頭1306及台座1308電相通於RF電源供應器1314與匹配網路1316以供應能量至電漿。某些實施例中,可藉由控制程序站點壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時間的一或更多者來控制電漿能量。例如,RF電源供應器1314及匹配網路1316可在任何合適功率下操作以形成具有所需之自由基物種組成的電漿。合適的功率範例包括於其上。同樣地,RF電源供應器1314可提供任意合適頻率的RF功率。某些實施例中,RF電源供應器1314可設置為控制互相獨立的高低頻RF電力源。低頻的RF頻率範例可包括但不限於50 kHz與500 kHz之間的頻率。高頻的RF頻率範例可包括但不限於1.8 MHz與2.45 GHz之間的頻率。可察知到,任何適當的參數都可離散地或連續地調節以對電漿提供能量供表面反應之用。在非限定的範例中,相對於連續受能量供應的電漿,可對電漿功率間歇脈衝以減少離子對基板表面的轟擊。
某些實施例中,電漿可藉由一或更多電漿監視器而受到就地監測。一情況中,電漿功率可藉由一或更多電壓、電流感應器(例如VI探針)而受到監測。另一情況中,電漿密度及/或程序氣體濃度可藉由一或更多光發射頻譜感應器(optical emission spectroscopy sensors (OES))而量測。某些實施例中,一或更多電漿參數可根據此等就地電漿監測器所得的量測值而程式化地調節。例如,OES感應器可在反饋迴路中使用以提供電漿功率的程式化控制。在某些實施例中,可察知到「可使用其他監測器來監測電漿及其他程序特徵」。此監測器可包括但不限於紅外線監測器、聲波監測器與壓力轉換器。
某些實施例中,電漿可透過輸入/輸出控制(input/output control (IOC))序列指令所控制。一範例中,用於電漿活化階段的電漿條件設定指令可包括在程序配方中所相對應的電漿活化配方階段。某些情況中,可依序安排程序配方階段使得程序階段的所有指令與程序階段同步執行。某些實施例中,一或更多電漿參數設定的指令可包括在電漿程序階段之前的配方階段中。例如第一配方階段可包括惰性及/或反應物氣體流速設定的指令、將電漿產生器設在功率設定點的指令、以及用於第一配方階段的時間延遲指令。第二後續配方階段可包括電漿產生器的啟動指令及用於第二配方階段的時間延遲指令。第三配方階段可包括關閉電漿產生器的指令及用於第三配方階段的時間延遲指令。在本揭露書的範疇內可察知到,此等配方階段可用合適的方法進一步分割及/或重複。
某些沉積程序中,電漿觸發持續了數秒或更長時間的數量級。此處所述的某些實施方式中,可在處理循環期間實施遠為更短的電漿觸發。此等觸發可能在50 ms到1秒的數量級,其中以0.25秒為具體範例。此短時的RF電漿觸發需要電漿的快速穩定。為達此目的,可在電漿得以浮動的同時設置電漿產生器以使得阻抗匹配針對一特定電壓而預先設定。高頻電漿慣常以約13.56 MHz的RF頻率產生。此處所揭露的各實施例容許頻率浮動為不同於此標準值的值。藉由在預設電壓固定阻抗匹配下允許頻率浮動,電漿可更快地穩定下來,這對於使用與ALD/CFD循環相關的極短電漿觸發時是重要的結果。
某些實施例中,台座1308可透過加熱器1310而受到溫度控制。而且在某些實施例中,程序站點1300的壓力控制可由蝴蝶閥1318所設置。如圖5A所示的實施例,蝴蝶閥1318藉由下游的真空泵浦(圖未示)而提供真空狀態的調節。然而在某些實施例中,程序站點1300的壓力控制也可藉由變動引入至程序站點1300的一或更多氣體流速而調節。
程序站點1300的內表面以底塗層1350所塗布。成為塗布有底塗層的表面範例包括腔室壁1302、腔室頂蓋與底層、台座1308及噴淋頭1306。雖然圖5A在程序站點1300中顯示有基板1312,但在底塗層沉積期間並不存在基板1312。反而,基板1312係在底塗層沉積之後、程序站點1300準備用於在基板1312上沉積膜層之時才引至程序站點1300。
圖5B顯示反應腔室500的另一視圖。用於在基板上沉積膜層時,基板(圖未示)位於由台座504(亦稱為基板支架)所支撐的基板乘載環531上,而台座504由支撐柱508所支撐。程序氣體透過流入口551提供至反應腔室。此實施例中可使用遠端電漿產生器550產生電漿。通過流入口551後,反應物及其他程序氣體透過噴淋頭502進入反應腔室。反應腔室的內表面(至少包括噴淋頭502、支撐柱508、台座504、基板承載環531、反應腔室500的腔壁、底層及頂蓋)以底塗層506所塗布。底塗層506的厚度為了說明目的而予以誇張化。某些實施例中,部分內表面(例如基板承載環)可由像是陶瓷的非金屬材料製成或具有複雜的幾何形狀。在使用CVD式的方法塗布腔室時,這些非金屬及複雜幾何形狀的部件往往無法受到均勻的底塗層塗布。例如底塗層可能未完全塗布某些區域,或可能在其他區域塗布過厚。使用所揭露之ALD式底塗層形成方法的某些實施例,即使是這些非金屬及複雜的部件也可受到均勻保形的底塗層。相較於CVD式的底塗層,此均勻的底塗層提供較優良的抗剝落能力,特別在像是基板乘載環的非金屬部件上。某些實施例中,乘載環可在上下位置之間移動。某些實施例中,底塗層沉積可在乘載環位於上部位置時進行以使所有表面得以受到沉積。
如上述,一或更多程序站點可包括在多站點處理設備中。圖6顯示具有入站負載埠2402與出站負載埠2404的多站點處理設備2400實施例概要圖,入站負載埠2402與出站負載埠2404的其中一者或兩者包含遠端電漿源。在大氣壓下,設置機器人2406從裝載於容器2408中的卡匣經由大氣埠2410而移動晶圓進入入站負載埠2402。晶圓藉由機器人2406而置於入站負載埠2402內的台座2412上,關閉大氣埠2410然後對負載埠抽氣降壓。當入站負載埠2402包含遠端電漿源時,可在晶圓被引入處理腔室2414以前,將其於負載埠中暴露於遠端電漿處理。而且,晶圓也可在入站負載埠2402內受熱以例如移除水氣與吸附氣體。接著開啟處理腔室2414的腔室輸送埠2416,而另一機器人(圖未示)將晶圓放入反應器及存在於反應器內的第一站點台座上以供處理。儘管圖6所繪製的實施例包括負載埠,在某些實施例中,「可設置晶圓直接進入程序腔室」是可以察知的。
所繪製的處理腔室2414包括四個處理站點,在圖6所示的實施例中以1到4編號。每一站點具有加熱的台座(以2418表示於站點1)以及氣體管線流入口。某些實施例中,可察知到每一程序站點可具有不同或多重目的。例如在某些實施例中,程序站點可在ALD模式、CFD模式及CVD程序模式之間切換。額外或替代地,在某些實施例中的處理腔室2414可包括一或更多配對成雙的ALD/CFD/CVD程序站點。雖然所繪製的處理腔室2414包含四個站點,但應可按照本揭露書而了解到,處理腔室可具有任意適當數目的站點。例如,雖然在某些實施例中處理腔室可能有三或更少站點,但在其他實施例中的處理腔室可能有五或更多站點。
圖6也繪製了用於在處理腔室2414內傳送晶圓的晶圓搬運系統2490實施例。某些實施例中,晶圓搬運系統2490可在各程序站點之間及/或在程序站點及負載埠之間傳送晶圓。可察知到任何適宜的晶圓搬運系統均可予以使用。非限定的範例包括晶圓旋轉料架與晶圓搬運機器人。圖6也繪製用於控制處理設備2400之程序條件及硬體狀態的系統控制器2450實施例。系統控制器2450可包括一或更多記憶體元件2456、一或更多大量儲存元件2454、以及一或更多處理器2452。處理器2452可包括中央處理器或電腦、類比及/或數位輸入/輸出連結、步進馬達控制器板等等。
某些實施例中,系統控制器2450控制處理設備2400的所有作業。系統控制器2450執行儲存在大量儲存元件2454的系統控制軟體2458,將其載入記憶體元件2456並在處理器2452上執行。系統控制軟體2458可包括時間點、氣體混合物、腔室及/或站點壓力、腔室及/或站點溫度、晶圓溫度、標的功率位準、RF功率位準、RF暴露時間、基板台座、夾具及/或基座位置、以及由處理設備2400所進行之特定程序的其他參數控制指令。這些程式化的程序可包括各種類型的程序,包括但不限於與底塗層相關的程序、與基板膜層沉積相關的程序、以及與清潔腔室相關的程序。系統控制軟體2458可用任何適當的方式設置。例如可撰寫各處理設備零部件的子程式或控制物件以控制完成各處理設備程序所需之處理設備零部件的操作。系統控制軟體2458可用任何適當的電腦可讀程式語言加以編碼。
某些實施例中,系統控制軟體2458可包括用於控制上述各參數的IOC序列指令。例如,ALD底塗層沉積程序的各個階段可包括以系統控制器2450所執行的一或更多指令。用於ALD/CFD底塗層沉積程序階段的程序條件設定指令可包括在相對應的ALD/CFD底塗層沉積配方階段中。某些實施例中,可依序安排配方階段使得程序階段的所有指令與該程序階段同時執行。
儲存在大量儲存元件2454及/或與系統控制器2450相關之記憶體元件2456中的其他電腦軟體及/或程式可使用在某些實施例中。用於此目的的程式或程式片段的範例包括基板放置程式、程序氣體控制程式、壓力控制程式、加熱控制程式以及電漿控制程式。
基板放置程式可包括處理設備零部件的程式碼,該處理設備零部件係用於將基板裝載至台座2418並控制基板與處理設備2400之其他部件間的間隔。放置程式可包括依照形成底塗層、在基板上沉積膜層、以及清潔腔室所需而適當移動基板進出反應腔室的指令。這些可包括在ALD/CFD式底塗層沉積期間以及清潔程序期間確保沒有基板存在於反應腔室的指令。
程序氣體控制程式可包括程式碼,該程式碼用於控制氣體組成與流速,以及選擇性地用於在沉積之前將氣體流入一或更多程序站點以穩定程序站點中的壓力。某些實施例中,程序氣體控制程式包括在反應腔室的底塗層形成期間引入某些氣體的指令,以及在反應腔室的基板膜層形成期間引入相同氣體的指令。程序氣體控制程式也可包括在底塗層形成期間以及基板膜層沉積期間以相同速度、相同持續時間輸送這些氣體的指令。
壓力控制程式可包括藉由調節流入程序站點的氣體流等等(例如程序站點的排氣系統節流閥)而控制程序站點內之壓力的程式碼。壓力控制程式可包括使反應腔室底塗層沉積期間維持與基板膜層沉積期間相同壓力的指令。
加熱器控制程式可包括控制流往用於加熱基板之加熱單元之電流的程式碼。替代地,加熱器控制程式可控制熱能傳遞氣體(像是氦)輸送到基板。加熱器控制程式可包括使反應腔室及/或基板支架的溫度在底塗層沉積期間與基板膜層沉積期間保持相同的程式碼。
根據此處的實施例,電漿控制程式可包括一或更多程序站點的RF功率位準設定、頻率、以及暴露時間的程式碼。某些實施例中,電漿控制程式可包括在反應腔室底塗層沉積期間與基板膜層沉積期間使用相同RF功率位準及/或頻率及/或暴露時間的指令。
某些實施例中,可以有與系統控制器2450相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或程序條件的圖像軟體顯示器、以及像是指標裝置、鍵盤、觸控螢幕、麥克風等等的使用者輸入裝置。
某些實施例中,由系統控制器2450所調節的參數可與程序條件相關。非限定的範例包括程序氣體組成和流速、溫度、壓力、電漿條件(像是RF偏壓功率位準及暴露時間)等等。此等參數可用配方的形式提供給使用者,這可利用使用者介面輸入。
用於監測程序的信號可由系統控制器2450的類比及/或數位輸入連結從各處理設備感應器所提供。控制程序的信號可在處理設備2400的類比及數位輸出連結上輸出。可受監控之處理設備感應器的非限定範例包括質量流量控制器、壓力感測器(像是壓力計)、熱電耦等等。適當予以程式化的反饋和控制演算法可與來自這些感測器的數據一起使用以維持程序條件。
系統控制器2450可提供實施上述沉積程序的程式指令。此程式指令可控制多種程序參數,像是DC功率位準、RF偏壓功率位準、壓力、溫度等等。根據此處所說明的各實施例,此等指令可控制參數以進行膜層堆疊的就地沉積。
系統控制器通常將包括一或更多記憶體元件及設置為執行指令的一或更多處理器,使得設備按照本發明執行方法。含有按照本發明的控制程序操作指令的機器可讀非暫態媒體可耦接至系統控制器。
上述的各硬體及方法實施例可與微影圖案化的設備或程序一起使用,例如用於半導體元件、顯示器、LED、太陽能面板及類似物的製作或量產。儘管非必然,此設備/程序通常將在常見的製造設施中一起使用或進行。
膜層的微影圖案化通常包括部分或全部的以下步驟,每一步驟可由諸多可行的設備所實現:(1) 使用旋轉或噴灑設備在工件(例如在具有矽氮化物膜形成於其上的基板)上塗佈光阻;(2) 使用加熱板或爐管或其他適合的固化設備固化光阻;(3) 使用像是晶圓步進器的設備將光阻對可見光或UV光或X射線曝光;(4) 使用像是濕式清洗台或噴灑顯影機的設備將光阻顯影以利選擇性地移除光阻並從而將其圖案化;(5) 藉由使用乾式或電漿輔助蝕刻方法以將光阻圖案轉印至下方膜層或工件;以及(6) 使用像是RF或微波電漿光阻剝除劑的方法移除光阻。某些實施例中,可灰化的硬遮罩層(像是非晶矽的碳層)及另一適當的硬遮罩(像是抗反射層)可在光阻塗布以前沉積。
應了解此處所述的配置及/或方法在本質上係為範例,且因為尚有諸多可行的變化,故此等特定實施例或範例不應以限制的觀念視之。此處所述的特定例行程序或方法可代表任何數量之處理方案的一或更多者。就此而論,所述的各作業可用所述的次序進行、以其他的次序進行、平行進行或在某些情況下省略。同樣地,上述程序的順序可以改變。
本揭露書的標的包括各種程序、系統及配置的所有新穎及非顯而易知的結合和子結合,以及此處所揭露的其他特徵、功能、作業及/或性質,還有其任何及所有的等價手段。 實驗
實驗測試已顯示ALD式的薄底塗層能將金屬汙染物降到最低,其效果可與遠為較厚的CVD式底塗層比肩。而且ALD式底塗層有遠佳於CVD式底塗層的抗剝落能力,使得後續腔室清潔操作之間在反應腔室中受到處理基板數目得以增加,從而增加生產量。雖然ALD式底塗層比CVD式底塗層需要更長的時間沉積,但在某些實施例中,ALD式底塗層的某些關於生產量的優勢(例如,在清潔操作之間所增加的基板數目、無須等待腔室冷卻、無須多次沖洗CVD腔室以使CVD反應物離開、及/或無須進行預塗布操作)比此等較長的底塗層沉積時間顯得更有價值。
圖7顯示在不同沉積程序後,關於基板表面上的各金屬濃度表。每一情形中,ALD式的矽氧化物層在基板上沉積至約250Å的厚度。在A欄所示的情況中,膜層在沒有底塗層的乾淨腔室中沉積於基板上。在缺乏底塗層之腔室中所沉積的膜層中,偵測到的鋁濃度幾乎高至每平方公分0.24 x 1010 個原子。銅與鐵也存在有可偵測到的量。於D欄所示的情況中,氧化物膜沉積在具有CVD式底塗層的基板上,其中CVD式底塗層為厚度約1µm的USG。
圖7的B與C欄係關於在具有本文所述之ALD式底塗層的反應腔室中所沉積的膜層。B欄中,ALD式底塗層沉積至約1000Å(0.1 µm,比D欄中代表的CVD式底塗層薄10倍)的厚度,而C欄中,ALD式底塗層沉積至約2000Å(0.2 µm,比 CVD式底塗層薄5倍)的厚度。此二膜層均展現出極低量的金屬汙染物。未有膜層顯示出鋁或鐵的可偵測量。相較於無底塗層之情況的A欄,銅的濃度減少且幾乎低如D欄之CVD式底塗層的情況。C欄之2000Å厚的ALD式底塗層顯示出比B欄之1000Å厚的ALD式底塗層稍微更低的金屬汙染物。
圖7的結果暗示,在防止金屬汙染物方面,ALD式的薄底塗層可以有與遠為較厚的CVD式底塗層比肩的表現。
圖8顯示在膜層上所偵測到的不同尺寸粒子數目表,該等膜層在具有2000Å厚之ALD式矽氧化物底塗層的反應腔室中沉積以及在具有2µm厚之CVD式USG底塗層的反應腔室中沉積。每一情況中,基板上所沉積的膜層為250Å厚的矽氧化物膜。ALD式底塗層用以下的條件沉積:1.8Torr的腔室壓力、0.2秒之流量為每分鐘在1-2 mL之間的BTBAS劑量、接著是0.3秒的吹洗、然後是實施0.25秒之RF功率為每站點500-2500W之間的電漿並同時提供一起流動之各為10 SLM的 O2 與N2 O劑量、接著是持續約0.15秒的RF後吹洗。當考慮更大的粒子時(例如大於約0.12µm的粒子),此二膜層均顯示相當良好程度(亦即頗低的)的粒子形成。當考慮到較小的粒子時(例如大於約0.05µm的粒子),具有CVD式底塗層所沉積的膜層展示出稍微較佳的粒子表現。儘管ALD式的膜層在小粒子尺寸展現出較高的粒子生成,但結果仍在可接受的程度內。
圖9是類似於圖8的表格,但比較在具有按照兩種不同ALD式方法所沉積之底塗層的反應腔室中所沉積的膜層。每一情況中,基板上的膜層為以約50°C沉積至厚度約250Å的矽氧化物。每一情況中的底塗層沉積至約2000Å的厚度。程序A的底塗層以每站點約200W的RF功率位準所沉積,而程序B的底塗層以每站點約125W的RF功率位準所沉積。
圖9所示的兩膜層均顯示良好的粒子表現。在大於約0.05 µm的粒子尺寸時,兩情況的粒子表現均極為良好,展現比圖8所示之具有CVD式底塗層之腔室中所沉積的膜層要更低程度的粒子生成。此等結果暗示,ALD式的薄底塗層可導致與較厚之CVD式底塗層相同良好或更好的抗粒子生成能力。
圖10A及10B分別顯示參照圖9之程序A及程序B所述之膜層的粒子地圖。粒子地圖顯示在基板表面偵測有粒子的位置。僅顯示大於0.04 µm的粒子。
圖11顯示膜層之晶圓與晶圓間的重複性/均勻度表,其中膜層在具有ALD式底塗層的反應腔室中所沉積。此情況中,矽氧化物底塗層沉積至約2000Å的厚度。膜層以約50°C的溫度在基板上沉積至約260Å的厚度。全數有25個基板受到處理與測試。晶圓計數指基板受到處理的順序。例如,晶圓計數1是在底塗層沉積之後第一個受到處理的基板,而晶圓計數25是最後一個受到處理的基板。記述的厚度相當於所沉積之特定膜層的厚度。此範圍相當於膜層最厚部分與膜層最薄部分之間的差值。NU%(1-σ)與範圍%(一半範圍)係關於以不同標準方法所計算之膜層內的不均勻程度。整體而言,膜層顯示晶圓與晶圓間有0.16%的不均勻度(一半範圍)。圖11的結果顯示出使用ALD式底塗層可導致極佳之晶圓與晶圓間的重複性。
圖12為2µm厚之CVD式底塗層與2000Å(0.2 µm厚)之ALD式底塗層的效果比較表。與CVD式底塗層之3.7µm 的腔室總累積限度相比,ALD式底塗層能達到5µm的腔室總累積限度。換言之,在膜層明顯剝落前,在ALD底塗層上比在CVD式底塗層上沉積有實質更多的膜層。雖然累積限度在ALD式底塗層的情況中僅提高35%,但大部分對CVD式底塗層情況之總累積量限度有所貢獻的累積量係沉積於CVD式的厚底塗層生成期間。換言之,雖然累積限度增加了約35%,但在後續清潔循環之間可受到處理的基板數目增加超過200%。ALD式底塗層可處理約770個基板,而CVD式底塗層僅能處理約250個基板。因為上述的N2 O電漿加熱效應,故在腔室用於在有用的基板上沉積以前,20個虛設晶圓在具有CVD式底塗層的腔室中受到處理。完成此虛設晶圓的沉積以助於將反應腔室的溫度降至其所需的穩定值。整體而言,ALD式底塗層導致比CVD式底塗層要更多的生產量。
某些實施例中,與在底塗層沉積之後的基板膜層沉積期間所使用的電漿特性(例如RF功率、RF時間及/或RF頻率)相比,底塗層可用不同的電漿特性所形成。例如,較低位準的RF通量可用於沉積具有較高濕式蝕刻率的膜層(例如底塗層及/或基板上之膜層)。圖13顯示在低RF及高RF狀況下,以100:1之水:HF中對熱氧化物的濕式蝕刻速率比(wet etch rate ratio (WERR))相對於沉積溫度的圖表。如圖13所示,以較低RF通量所沉積的膜層展現較高的濕式蝕刻率。希望有較高濕式蝕刻率的原因在於其相當於膜層中有較不具壓縮性、較為中性的應力。圖14顯示繪製了熱氧化物的WERR相對於膜層應力的圖表。如圖示,具有較高濕式蝕刻速率比的ALD式SiO2 膜實質上具有中性應力,而具有較低濕式蝕刻速率比的ALD式SiO2 膜則具有較為壓縮的應力。RF通量可藉由使用較低的RF功率及/或較短的RF時間而降低。此外,在某些實施例中可使用較高位準的RF通量以沉積在某些應用中可能有所需求、具有較低之濕式蝕刻速率及較為壓縮之應力位準的膜層(例如底塗層及/或基板上的膜層)。
某些實施方式中,比起反應腔室內之基板膜層沉積期間所使用的RF通量(RF功率及/或RF時間),反應腔室上的底塗層可用較低的RF通量沉積。其他實施方式中,比起反應腔室內之基板膜層沉積期間所使用的RF通量,反應腔室上的底塗層可用較高的RF通量所沉積。
同樣地,RF通量可在底塗層沉積期間隨其沉積改變以逐步改變底塗層的濕式蝕刻速率及應力。例如,RF通量可在底塗層沉積期間減少以逐步增加底塗層的濕式蝕刻速率及減少其應力。逐步減少的應力可有助於防止膜層剝落和脫落,從而使腔室在清潔操作之間得以達到較高的腔室累積限度。
此外,沉積溫度可在底塗層沉積與基板膜層沉積之間、或在底塗層沉積期間改變。回到圖13,較低的沉積溫度導致了較高的濕式蝕刻速率比以及相當於較為中性的膜層。相反地,較高的沉積溫度導致了較低的濕式蝕刻速率與比例,以及較為收縮的膜層。某些實施例中,腔室中的底塗層以高於在腔室基板上沉積膜層的溫度沉積。其他實施例中,腔室中的底塗層以低於在腔室基板上沉積膜層的溫度沉積。又,在其他的實施例中,底塗層以相同於在反應腔室基板上沉積膜層的溫度沉積。又如所述,溫度可在底塗層沉積期間改變。例如,溫度可在底塗層沉積期間降低以形成逐步變為較中性的底塗層。相反地,溫度可在底塗層沉積期間增加以形成逐步變為較為壓縮性的底塗層。此等逐步變化可有助於防止剝落和脫落,並且有助於腔室在清潔程序之間達到更高的腔室累積限度。
500‧‧‧腔室
502‧‧‧噴淋頭
504‧‧‧台座
506‧‧‧底塗層
508‧‧‧支撐柱
531‧‧‧乘載環
550‧‧‧遠端電漿產生器
551‧‧‧流入口
1300‧‧‧站點
1301‧‧‧反應物輸送系統
1302‧‧‧程序腔室體
1303‧‧‧蒸發處
1304‧‧‧混合容器
1306‧‧‧噴淋頭
1307‧‧‧微空間
1308‧‧‧台座
1310‧‧‧加熱器
1312‧‧‧基板
1314‧‧‧RF電源供應器
1316‧‧‧匹配網路
1318‧‧‧蝴蝶閥
1320‧‧‧流入閥
1350‧‧‧底塗層
2400‧‧‧多站點處理設備
2402‧‧‧入站負載埠
2404‧‧‧出站負載埠
2406‧‧‧機器人
2408‧‧‧容器
2410‧‧‧大氣埠
2414‧‧‧處理腔室
2416‧‧‧腔室輸送埠
2418‧‧‧台座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存元件
2456‧‧‧記憶體元件
2490‧‧‧晶圓搬運系統
圖1顯示在包括以CVD式方法沉積底塗層的反應腔室中處理基板的流程圖。
圖2顯示在包括以ALD式方法沉積底塗層的反應腔室中處理基板的流程圖。
圖3描述透過ALD式的方法沉積底塗層的方法流程圖。
圖4顯示透過ALD式的方法沉積矽氧化物底塗層的方法流程圖。
圖5A及5B顯示按照某些所揭露之實施例的反應腔室實施例。
按照某些所揭露之實施例,圖6顯示多功能的半導體覆鍍設備。
圖7係描述在具有不同種類之底塗層的反應腔室中,基板上所沉積之膜層中的各種金屬表面濃度表。
圖8及9係顯示在具有不同種類之底塗層的反應腔室中,於所沉積之膜層上偵測到的粒子數目表。
圖10A及10B顯示粒子圖,描述圖9所述之膜層上偵測有粒子之處。
圖11顯示在具有ALD式底塗層的反應腔室中所沉積的膜層均勻度表。
圖12係具有CVD式及ALD式底塗層之反應腔室的腔室效能與生產量比較表。
圖13說明不同位準之RF通量的濕式蝕刻速率相對於沉積溫度的圖表。
圖14顯示各膜層之濕式蝕刻速率相對於膜層應力的圖表。

Claims (23)

  1. 一種在反應腔室的內表面上形成底塗層以用於處理基板的方法,該方法包括:(a)將蒸氣相的一第一反應物之流引入該反應腔室,並使得該第一反應物吸附在該反應腔室的內表面上;(b)當該第一反應物吸附在該反應腔室的內表面上時,將蒸氣相的一第二反應物之流引入該反應腔室;以及(c)為了驅動在該反應腔室之內表面上的該第一及該第二反應物之間的反應以形成一底塗層,在該第一及該第二反應物的至少其中一者之流已停止時,將該反應腔室暴露於電漿,其中該底塗層保形地塗布於該反應腔室的內表面;其中操作(a)-(c)發生於該反應腔室內無基板存在的時候,以及其中操作(a)-(c)予以重複直至底塗層至少為0.1μm厚。
  2. 如申請專利範圍第1項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該反應腔室內的溫度在操作(a)-(c)期間變化不超過約2℃。
  3. 如申請專利範圍第2項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該底塗層為氧化物、氮化物、碳化物或碳氮化物。
  4. 如申請專利範圍第3項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該第二反應物包括選自O2、N2O、CO、與CO2之至少一種材料。
  5. 如申請專利範圍第2項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該底塗層為貴金屬、鑭系氧化物、四族金屬氧化物或五族金屬氧化物。
  6. 如申請專利範圍第1-5項之任一項所述之在反應腔室的內表面上以用於處理基板形成底塗層的方法,其中該底塗層保形地塗布於一基板載具。
  7. 如申請專利範圍第1項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該底塗層不超過約0.5μm厚。
  8. 如申請專利範圍第7項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該底塗層不超過0.2μm厚。
  9. 如申請專利範圍第1-5、7或8之任一項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,更包括:(d)在該反應腔室中接收一基板;(e)將蒸氣相的一第三反應物之流引入該反應腔室,並使得該第三反應物吸附至該基板的表面上;(f)在該第三反應物吸附於該基板的表面上時,將蒸氣相的一第四反應物之流引入該反應腔室;以及(g)為了驅動在該第三及該第四反應物之間的反應以在該基板的表面上形成一第二膜層,在該第三及該第四反應物的至少其中一者之流已停止時,將該反應腔室暴露於電漿。
  10. 如申請專利範圍第9項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該第一反應物及該第二反應物分別與該第三反應物與該第四反應物相同。
  11. 如申請專利範圍第10項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該第二及該第四反應物各自包含選自O2、N2O、CO、與CO2之至少一種材料。
  12. 如申請專利範圍第10項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該反應腔室的壓力、該反應腔室的溫度、給劑 持續時間、電漿暴露持續時間以及RF功率值在操作(a)-(c)與操作(e)-(g)之間實質上保持固定。
  13. 如申請專利範圍第9項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該反應腔室中的溫度在操作(a)-(g)期間變化不超過約2℃。
  14. 如申請專利範圍第13項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中操作(e)在最後一次重複操作(c)以後約5分鐘之內開始。
  15. 如申請專利範圍第9項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該反應腔室在最後一次重複操作(c)以及第一次重複操作(e)的之間不受到吹洗。
  16. 如申請專利範圍第9項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中操作(d)-(g)隨著複數個基板所重複,且直到在該基板上至少沉積有總量約7.5μm的該第二膜層之前,操作(a)-(g)期間所沉積在該反應腔室之內表面上的膜層不會開始脫落或剝落。
  17. 如申請專利範圍第9項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中操作(d)-(g)可隨著複數個基板所重複,且其中直到使用操作(d)-(g)以該反應腔室處理至少約300個基板之前,在操作(a)-(g)中所沉積的膜層不會開始脫落或剝落。
  18. 如申請專利範圍第1-5、7或8之任一項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中操作(a)-(c)的一第一重複係以一第一位準的RF通量所進行,而操作(a)-(c)的一第二重複係以一第二位準的RF通量所進行,其中該第一及該第二位準的RF通量不同。
  19. 如申請專利範圍第4項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該第二反應物包括O2、N2O、CO、與CO2
  20. 如申請專利範圍第11項所述之在反應腔室的內表面上形成底塗層以用於處理基板的方法,其中該第二及該第四反應物各自包含O2、N2O、CO、與CO2
  21. 一種處理反應腔室以用於在基板上沉積膜層的方法,包括:(a)從一反應腔室的內表面上移除先前所沉積的膜層以清潔該反應腔室;以及(b)藉由原子層沉積程序在已清潔之該反應腔室的內表面上沉積一底塗層;其中操作(b)係等溫進行且發生在該反應腔室內無基板存在的時候。
  22. 如申請專利範圍第21項所述之處理反應腔室以用於在基板上沉積膜層的方法,其中該底塗層係沉積至約0.1-0.5μm之間的厚度。
  23. 一種準備用於在基板上沉積膜層的反應腔室,包括:一或更多流入口,係用於將蒸氣相的反應物引入一反應腔室;一或更多流出口,係用於從該反應腔室移除蒸氣相材料;一電漿產生器,係用於產生對該反應腔室暴露的電漿;以及在該反應腔室之內表面上的一底塗層,其中該底塗層的厚度係在約0.1-0.5μm之間,以及其中該底塗層保形地覆蓋該反應腔室的內表面且該底塗層係藉由以下操作形成:(a)將蒸氣相的一第一反應物之流引入該反應腔室,並使得該第一反應物吸附在該反應腔室的內表面上;(b)當該第一反應物吸附在該反應腔室的內表面上時,將蒸氣相的一第二反應物之流引入該反應腔室;以及 (c)為了驅動在該反應腔室之內表面上的該第一及該第二反應物之間的反應以形成底塗層,在該第一及該第二反應物的至少其中一者之流已停止時,將該反應腔室暴露於電漿,其中(a)-(c)發生於該反應腔室內無基板存在的時候。
TW103140644A 2013-11-25 2014-11-24 用於低溫原子層沉積膜之腔室底塗層準備方法 TWI644359B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/089,653 2013-11-25
US14/089,653 US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films

Publications (2)

Publication Number Publication Date
TW201526105A TW201526105A (zh) 2015-07-01
TWI644359B true TWI644359B (zh) 2018-12-11

Family

ID=53182883

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103140644A TWI644359B (zh) 2013-11-25 2014-11-24 用於低溫原子層沉積膜之腔室底塗層準備方法

Country Status (5)

Country Link
US (2) US9745658B2 (zh)
JP (2) JP2015122486A (zh)
KR (2) KR20150060583A (zh)
CN (1) CN104651807B (zh)
TW (1) TWI644359B (zh)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US11326254B2 (en) * 2014-03-03 2022-05-10 Picosun Oy Protecting an interior of a gas container with an ALD coating
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9677171B2 (en) * 2014-06-06 2017-06-13 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in a non-mass-analyzed ion implantation system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6144300B2 (ja) * 2015-07-16 2017-06-07 東京エレクトロン株式会社 グラフェン製造方法、グラフェン製造装置及びグラフェン製造システム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017098323A (ja) * 2015-11-19 2017-06-01 東京エレクトロン株式会社 プラズマエッチング方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9558939B1 (en) * 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
WO2018085866A2 (en) * 2016-10-25 2018-05-11 Conax Technologies Erosion/corrosion resistant barrier coating
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102665773B1 (ko) * 2016-12-09 2024-05-14 주성엔지니어링(주) 챔버 세정 방법, 박막 증착 방법 및 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102117945B1 (ko) * 2017-02-09 2020-06-02 주식회사 메카로에너지 화학기상증착법을 이용한 반사방지막 제조방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN107611000B (zh) * 2017-09-21 2018-07-13 北京大学 一种等离子体激励的非高温扩散掺杂装置及方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR20200086750A (ko) * 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108385088A (zh) * 2018-04-16 2018-08-10 扬州大学 一种TiSiO复合光波导薄膜的制备方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
SG11202013097VA (en) * 2018-06-29 2021-01-28 Lam Res Corp Oxidative conversion in atomic layer deposition processes
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US20210340670A1 (en) * 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220267900A1 (en) * 2019-06-26 2022-08-25 Lam Research Corporation Chamber-accumulation extension via in-situ passivation
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Nuclear layer cultivation equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) * 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US20220216060A1 (en) * 2019-10-08 2022-07-07 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN111172511A (zh) * 2020-01-17 2020-05-19 胜科纳米(苏州)有限公司 一种在有机材料表面制备金属膜层的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7122335B2 (ja) * 2020-03-30 2022-08-19 Ckd株式会社 パルスショット式流量調整装置、パルスショット式流量調整方法、及び、プログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
JP2022098040A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153672B (zh) * 2023-11-01 2024-01-26 粤芯半导体技术股份有限公司 一种介电层及其制作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023516A1 (en) * 2001-10-02 2004-02-05 Londergan Ana R. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6327623B2 (en) * 1997-05-30 2001-12-04 Texas Instruments Incorporated Computer system with environmental detection
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP4411215B2 (ja) * 2002-11-11 2010-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP2008507847A (ja) * 2004-07-23 2008-03-13 サンデュー・テクノロジーズ・エルエルシー 高エネルギー貯蔵密度及び低esrを有するコンデンサ
CN101053063B (zh) 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
KR20080047543A (ko) 2005-08-31 2008-05-29 스미또모 가가꾸 가부시끼가이샤 트랜지스터, 유기 반도체 소자, 및 이들의 제조 방법
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
JP5201934B2 (ja) * 2007-10-10 2013-06-05 東京エレクトロン株式会社 基板処理装置のメタル汚染低減方法
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP5514129B2 (ja) * 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) * 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
JP2012216696A (ja) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023516A1 (en) * 2001-10-02 2004-02-05 Londergan Ana R. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber

Also Published As

Publication number Publication date
JP2015122486A (ja) 2015-07-02
US9745658B2 (en) 2017-08-29
CN104651807B (zh) 2017-11-28
JP2021022752A (ja) 2021-02-18
CN104651807A (zh) 2015-05-27
KR20150060583A (ko) 2015-06-03
US20170314128A1 (en) 2017-11-02
KR20230039625A (ko) 2023-03-21
US20150147482A1 (en) 2015-05-28
TW201526105A (zh) 2015-07-01

Similar Documents

Publication Publication Date Title
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
US10629429B2 (en) Selective deposition of silicon oxide
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
TWI643974B (zh) 氣相沉積膜中用以減輕瑕疵狀態之方法及設備
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
KR101295174B1 (ko) 성막 방법, 성막 장치 및, 성막 장치의 사용 방법
JP2017034245A (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
TW201704517A (zh) 藉由原子層沉積及原子層蝕刻的保形膜之沉積
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
TW201437414A (zh) 用以在敏感基板上沉積薄膜的方法
KR20160038783A (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
KR20200123482A (ko) 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
TWI798371B (zh) 使用水解之選擇性沉積
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films