JP2015122486A - 低温ald被膜のためのチャンバアンダーコート準備方法 - Google Patents

低温ald被膜のためのチャンバアンダーコート準備方法 Download PDF

Info

Publication number
JP2015122486A
JP2015122486A JP2014233410A JP2014233410A JP2015122486A JP 2015122486 A JP2015122486 A JP 2015122486A JP 2014233410 A JP2014233410 A JP 2014233410A JP 2014233410 A JP2014233410 A JP 2014233410A JP 2015122486 A JP2015122486 A JP 2015122486A
Authority
JP
Japan
Prior art keywords
reaction chamber
undercoat
reactant
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014233410A
Other languages
English (en)
Other versions
JP2015122486A5 (ja
Inventor
フー・カン
Hu Kang
ジュイン・チエン
Jun Qian
エイドリアン・ラボイエ
Lavoie Adrien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015122486A publication Critical patent/JP2015122486A/ja
Publication of JP2015122486A5 publication Critical patent/JP2015122486A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)

Abstract

【課題】基板上に被膜を堆積するために使用される反応チャンバ内面上への原子層堆積法によるアンダーコート形成方法及びそれが被覆された反応チャンバを提供する。【解決手段】反応チャンバ内に、蒸気相での第1の反応物の流れを導入し、反応チャンバの内面上に第1の反応物を吸着させるステップ301と、反応チャンバ内に、蒸気相での第2の反応物の流れを導入するステップ303と、第1の反応物と第2の反応物の少なくとも一方の流れが止まったときに、第1の反応物と第2の反応物の反応を誘発するために反応チャンバをプラズマに露出するステップ305と、アンダーコートが反応チャンバの内面をコーティングするステップとを含み、ステップ301、303、305が、反応チャンバ内に基板がないときに行われ、アンダーコートが少なくとも約0.1μmの厚さになるまで繰り返される。【選択図】図3

Description

半導体産業が発展するにつれて、デバイス寸法は、ますます小さくなっている。これらの次第に小さくなるフィーチャは、被膜不純物または他の不均一性の存在が半導体デバイスの不良をもたらすことがよくあるので、体積を極めて均一に行ないうる堆積処置が求められる。アンダーコートは、ウェハ毎の厚さ均一性およびウェハ内の厚さ均一性を改良する一助となり得る。
本明細書におけるいくつかの実施形態は、基板上に被膜を堆積するために使用される反応チャンバ内でアンダーコートを形成するための方法および装置に関する。本明細書における実施形態の一態様では、基板を処理するための反応チャンバの内面にアンダーコートを形成するための方法であって、(a)反応チャンバ内に、蒸気相での第1の反応物の流れを導入し、反応チャンバの内面に第1の反応物を吸着させるステップと、(b)第1の反応物が反応チャンバの内面に吸着された状態で、反応チャンバ内に、蒸気相での第2の反応物の流れを導入するステップと、(c)反応チャンバの内面で第1の反応物と第2の反応物の反応を誘発してアンダーコートを形成するために、第1の反応物と第2の反応物の少なくとも一方の流れが止まったときに、反応チャンバをプラズマに露出するステップであって、アンダーコートが、反応チャンバの内面を共形にコーティングするステップとを含み、操作(a)〜(c)が、反応チャンバ内に基板がないときに行われ、操作(a)〜(c)が、アンダーコートが少なくとも約0.1μmの厚さになるまで繰り返される方法が提供される。
いくつかの実施形態では、反応チャンバ内の温度が、操作(a)〜(c)中に約2℃以下しか変化しない。いくつかの場合には、アンダーコートは、酸化物、窒化物、炭化物、または炭窒化物でよい。また、アンダーコートは、貴金属、ランタニド酸化物、4族金属酸化物、または5族金属酸化物でもよい。様々な異なる反応物を使用することができる。いくつかの場合には、第2の反応物が、O2およびN2Oを含む。O2およびN2Oは、SLMで測定したときに実質的に等しい流量で提供されることがある。アンダーコートは、様々なチャンバ内面、例えば基板キャリアを共形にコーティングすることができる。いくつかの実施形態では、アンダーコートは、約0.5または0.2μm以下の厚さである。
この方法は、(d)反応チャンバ内に基板を受け取るステップと、(e)反応チャンバ内に、蒸気相での第3の反応物の流れを導入し、第3の反応物を基板の表面に吸着させるステップと、(f)第3の反応物が基板の表面に吸着された状態で、反応チャンバ内に、蒸気相での第4の反応物の流れを導入するステップと、(g)基板の表面で第3の反応物と第4の反応物の反応を誘発して第2の被膜を形成するために、第3の反応物と第4の反応物の少なくとも一方の流れが止まったときに、反応チャンバをプラズマに露出するステップとに進むことがある。
いくつかの実装形態では、第1の反応物および第2の反応物が、それぞれ第3の反応物および第4の反応物と同じである。例えば、第2の反応物と第4の反応物が、それぞれO2およびN2Oを含むことがある。他のプロセス特性は、アンダーコート堆積と基板上の堆積との間で一定でよい。いくつかの実施形態では、反応チャンバ圧力、反応チャンバ温度、投与時間、プラズマ露出時間、およびRF出力値の1つまたは複数が、操作(a)〜(c)と操作(e)〜(g)との間で実質的に一定である。いくつかの場合には、これらのプロセス特性は全て、2組の操作の間で一定である。
いくつかの実施形態では、反応チャンバ内の温度が、操作(a)〜(g)中に約2℃以下しか変化しない。さらに、いくつかの場合には、操作(e)が、操作(c)の最後の反復後、約5分以内に始まることがある。これらまたは他の場合には、反応チャンバが、操作(c)の最後の反復と操作(e)の最初の反復との間にパージされることがある。操作(d)〜(g)を複数の基板で繰り返すことができる。いくつかの実施形態では、操作(a)〜(g)で堆積された被膜が、約4μmのチャンバ累積限度に達するまでは、および/または操作(d)〜(g)を使用して反応チャンバによって少なくとも約300枚の基板が処理されるまでは剥落または剥離し始めない。いくつかの場合には、これらの限度はより高いことがあり、例えば、剥落/剥離は、少なくとも約400枚、または少なくとも約500枚、または少なくとも約600枚の基板が処理されるまで始まらないことがある。様々な実施形態において、これは、剥落/剥離前の、少なくとも約7.5μm、または少なくとも約10μm、または少なくとも約15μmの基板上での合計堆積量に対応することがある。この測定値は、ある洗浄操作から次の洗浄操作までに処理された基板の数に、基板上に堆積された被膜の平均厚さを掛けた値に関するものである。
開示される実施形態の別の態様では、基板上に被膜を堆積するための反応チャンバを処理する方法であって、(a)反応チャンバを洗浄するために、反応チャンバの内面から、前に堆積された被膜を除去するステップと、(b)原子層堆積プロセスによって、反応チャンバの洗浄された内面上にアンダーコートを堆積するステップとを含み、操作(b)が、反応チャンバ内に基板がないときに行われる方法が提供される。いくつかの実施形態では、アンダーコートは、約0.1〜0.5μmの間の厚さに堆積される。いくつかの実施形態では、操作(b)は、等温で行うことができる。
開示される実施形態のさらなる態様では、基板上に被膜を堆積するために準備された反応チャンバであって、反応チャンバに蒸気相の反応物を導入するための1つまたは複数の入口と、反応チャンバから蒸気相の材料を除去するための1つまたは複数の出口と、反応チャンバに露出されるプラズマを発生させるためのプラズマ発生器と、反応チャンバの内面上のアンダーコートとを備え、アンダーコートが、約0.5μm以下の厚さであり、アンダーコートが、反応チャンバの内面を共形に被覆する反応チャンバが提供される。
いくつかの実施形態では、反応チャンバの内面上のアンダーコートが、(a)反応チャンバ内に、蒸気相での第1の反応物の流れを導入し、反応チャンバの内面に第1の反応物を吸着させるステップと、(b)第1の反応物が反応チャンバの内面に吸着された状態で、反応チャンバ内に、蒸気相での第2の反応物の流れを導入するステップと、(c)反応チャンバの内面で第1の反応物と第2の反応物の反応を誘発してアンダーコートを形成するために、第1の反応物と第2の反応物の少なくとも一方の流れが止まったときに、反応チャンバをプラズマに露出するステップによって形成されることがあり、ここで、操作(a)〜(c)は、反応チャンバ内に基板がないときに行われる。反応チャンバの内面上のアンダーコートは、約0.2μm以下の厚さでよい。いくつかの実施形態では、反応チャンバは、さらに、アンダーコートによって共形にコーティングされた基板キャリアを含む。
これらおよび他の特徴を、関連の図面を参照して以下に述べる。
CVDベースの方法によってアンダーコートを堆積することを含む、反応チャンバ内で基板を処理するための流れ図である。
ALDベースの方法によってアンダーコートを堆積することを含む、反応チャンバ内で基板を処理するための流れ図である。
ALDベースの方法によってアンダーコートを堆積する方法に関する流れ図である。
ALDベースの方法によって酸化ケイ素アンダーコートを堆積する方法に関する流れ図である。
いくつかの開示される実施形態による反応チャンバの実施形態を示す図である。 いくつかの開示される実施形態による反応チャンバの実施形態を示す図である。
いくつかの開示される実施形態によるマルチツール半導体めっき装置を示す図である。
様々なタイプのアンダーコートを有する反応チャンバ内の基板上に堆積された被膜中の様々な金属の表面濃度を表す表である。
様々なタイプのアンダーコートを有する反応チャンバ内に堆積された被膜上で検出された粒子の数を示す表である。 様々なタイプのアンダーコートを有する反応チャンバ内に堆積された被膜上で検出された粒子の数を示す表である。
図9に表される被膜上で粒子が検出された場所を例示する粒子マップである。 図9に表される被膜上で粒子が検出された場所を例示する粒子マップである。
ALDベースのアンダーコートを有する反応チャンバ内に堆積された被膜の均一性を示す表である。
CVDおよびALDベースのアンダーコートを有する反応チャンバに関するチャンバ性能およびスループットを比較する表である。
様々なRFフラックスレベルに関するウェットエッチングレートと堆積温度との関係を示すグラフである。
様々な被膜に関するウェットエッチングレートと被膜応力との関係を示すグラフである。
本出願において、用語「半導体ウェハ」、「ウェハ」、「基板」、「ウェハ基板」、および「部分製造された集積回路」は、交換可能に使用される。用語「部分製造された集積回路」は、ウェハ上での集積回路製造の多くの段階のうちの任意の段階におけるシリコンウェハを表すことができることを当業者は理解されよう。半導体デバイス産業で使用されるウェハまたは基板は、典型的には直径200mmまたは300mmであるが、業界は、直径450mmの基板の採用に向かっている。半導体ウェハ上に被膜を堆積するために使用される反応チャンバに加えて、他のタイプの堆積リアクタが本発明を利用することができる。開示される実施形態から利益を得ることができる他のタイプのリアクタは、プリント回路板やディスプレイなど様々な物品を製造するために使用されるものを含む。半導体ウェハに加えて、本明細書で述べる方法および装置は、ガラスおよびプラスチックパネルを含む他のタイプの基板用に構成された堆積チャンバと共に使用することができる。
以下の説明では、提示する実施形態を完全に理解できるように、いくつかの特定の詳細を記載する。開示する実施形態は、これらの特有の詳細のいくつかまたは全てを伴わずに実施することができる。なお、開示する実施形態を不要に曖昧にしないように、周知のプロセス操作は詳細には述べていない。開示する実施形態を特定の実施形態に関連して述べるが、開示する実施形態を限定することは意図されていないことを理解されたい。
共形の均一な二酸化ケイ素(SiO2)被膜および他の誘電体被膜は、半導体製造において多くの用途を有する。薄いSiO2被膜の一般的な用途は、隣接するトランジスタの電気絶縁である。電気絶縁は、隣接するトランジスタ構成要素を絶縁性の二酸化ケイ素によって物理的に離隔することによって実現することができる。時として、特にフィーチャが比較的大きい場合には、化学気相成長(CVD)およびプラズマ化学気相成長(PECVD)が、シャロートレンチアイソレーション(STI)のための酸化ケイ素被膜または他の被膜を堆積するための選択肢となる方法である。しかし、デバイスの小型化が進むにつれて、フィーチャのアスペクト比(深さ対幅)が増加し、従来のCVD技術は、これらの高いアスペクト比のフィーチャにおいて適切に共形の被膜をもはや提供することができない。
CVDに対する1つの代替処理は、原子層堆積(ALD)処理、およびプラズマ原子層堆積(PEALD)処理である。特に断りのない限り、以下の説明では、用語ALDは、PEALDを含むものと意図され、用語CVDは、PECVDを含むものと意図される。ALD法は、反応物ガスの自己制限性の吸着を伴い、高いアスペクト比のフィーチャ内部に、薄い共形の誘電体被膜を提供することができる。ALD法は、酸化ケイ素または他のタイプの被膜の堆積用に開発されている。ALDによって生成される被膜は非常に薄い(例えば、約1モノレイヤ)。したがって、ギャップフィーチャを適切に充填するために複数回のALDサイクルを繰り返すことができる。
被膜を堆積するために活性化気相反応が使用されるCVDプロセスとは異なり、ALDプロセスは、表面を媒体とした堆積反応を使用して、層ごとに被膜を堆積する。1つの例示的なALDプロセスでは、1群の表面活性部位を含む基板表面が、気相で分散された第1の被膜前駆体(P1)に露出される。P1の化学吸着種および物理吸着分子を含め、P1のいくつかの分子は、基板表面の上で凝縮された相を成すことがある。次いで、気相のP1および物理吸着されたP1を除去するためにリアクタが排気され、それにより化学吸着種のみが残る。次いで、第2の被膜前駆体(P2)がリアクタに導入され、それによりP2のいくつかの分子が基板表面に吸着する。リアクタを再び排気することができ、ここで、未結合のP2を除去する。その後、基板に提供されるエネルギー(例えば、熱エネルギーまたはプラズマエネルギー)がP1とP2の吸着分子間の表面反応を活性化し、被膜層を形成する。最後に、反応副生成物および場合によっては未反応のP1およびP2を除去するためにリアクタが排気され、ALDサイクルを終了する。被膜厚さを増すためにさらなるALDサイクルが含まれることがある。
前駆体投与ステップの露出時間、および前駆体の付着係数に応じて、各ALDサイクルは、一例では約0.5Å〜3Åの間の厚さの被膜層を堆積することができる。
また、平坦な基板上に共形の被膜を堆積することもできる。例えば、リソグラフィパターン形成用途での反射防止層を、交互被膜タイプを含む平坦なスタックから形成することができる。そのような反射防止層は約100Å〜1000Åの厚さであることがあり、より遅いALDプロセスを、より速いCVDプロセスよりも魅力的でなくす。しかし、そのような反射防止層は、ウェハ内部の厚さのばらつきに関して、多くのCVDプロセスが提供することができるよりも低い公差を有することもある。例えば、600Åの厚さの反射防止層は、3Å未満の厚さ範囲を許容することがある。
小さなフィーチャサイズのためのCVDに対する実現可能な代替技法を提示する関連の堆積技法は、共形被膜堆積(CFD)である。一般に、CFDは、被膜を形成するために、反応前に1つまたは複数の反応物を完全にパージすることに依拠しない。例えば、プラズマ(または他の活性化エネルギー)の衝突が行われるときに、蒸気相で存在する1つまたは複数の反応物が存在することがある。それに従って、ALDプロセスで述べたプロセスステップの1つまたは複数(例えばパージステップ)が、例示的なCFDプロセスでは短縮される、またはなくされることがある。典型的には、ALDプロセスを実施することが可能なチャンバは、CFDプロセスを実施することも可能である。いくつかの実装形態では、新規のアンダーコート形成プロセスを使用して、CVD、ALD、またはCFD反応チャンバ内でアンダーコートを堆積することができる。新規のアンダーコートの利益は、ALD/CFDリアクタと共に使用されるときに最大となり得るが、いくつかの実施形態はこの文脈に限定されない。
アンダーコートは、ウェハ毎の厚さ均一性およびウェハ内部の厚さ均一性を改良する一助となり得る。チャンバアンダーコートの使用は、プラズマ処理装置、例えばプラズマ原子層堆積(PEALD)被膜またはプラズマ化学気相成長(PECVD)被膜を堆積するために使用される装置の文脈で特に有益である。アンダーコートは、しばしば、金属汚染、およびチャンバの表面上での欠陥誘発粒子の生成を減少する一助となるように使用される。これらの粒子は、被膜が堆積されているときに、チャンバ表面から剥落して基板上に落ちることがあり、それにより、望ましくない被膜不純物を生じ、デバイス故障の可能性を高める。アンダーコートを使用する別の利点は、それが、リアクタ内部のインピーダンスを安定させる一助となることである。
チャンバアンダーコートを形成する1つの方法は、PECVDプロセスによってチャンバ表面上に非ドープケイ酸塩ガラス(USG)を堆積することを含む。このプロセスは、典型的には、チャンバ内に基板がない状態で行われる。USGを堆積するために使用される反応物は、シラン(SiH4)および亜酸化窒素(N2O)を含む。残念ながら、この反応は、亜酸化窒素の使用に関係付けられるプラズマ加熱効果により、反応チャンバの温度を上昇させる。反応チャンバが約100℃以下で維持される場合、プラズマ加熱効果は相当なものになり得る。例えば、アンダーコートの堆積は、反応チャンバの温度を約4〜8℃上昇させる。反応チャンバ温度に対するこの効果は、ウェハ毎の均一性に大きな影響を及ぼす。反応チャンバの温度を安定させ、均一性を改良するために、アンダーコートが堆積された後、任意の基板が処理される前に、長い休止時間(例えば少なくとも約10分)が使用されることがある。チャンバ温度を安定させるための別の方法は、反応チャンバ内部に、数回の堆積サイクルにわたって1つまたは複数の「ダミーウェハ」を通すことである。ダミーウェハは、最終的なデバイスで使用するようには設計されていない比較的安価な基板でよい。これらの手法はどちらも、製造プロセスを遅らせ、スループットを減少させる。
長い休止時間および/またはダミーウェハの使用に加えて、CVDベースのアンダーコート形成法は、典型的には、USGを生成する反応物を除去するためにチャンバの複数回のフラッシュを必要とする。これらのフラッシュが行われない場合、シランおよび亜酸化窒素は、反応チャンバ内に残ることがあり、基板上に被膜を堆積するためにチャンバが使用されるときに望ましくない反応を引き起こすことがある。これらの複数のフラッシュ操作は、必要な処理時間を増加させ、スループットを減少させる。
CVDベースのアンダーコート堆積プロセスに関係付けられるさらなる問題は、PECVDベースのUSGアンダーコートによって被覆される領域と、例えばPEALD堆積被膜によって被覆される領域との不一致の可能性があることである。例えば、PEALD反応チャンバは、PECVDベースのUSGアンダーコートでコーティングされることがある。CVDベースのアンダーコートは、反応チャンバの特定の部分を被覆するが、チャンバの他の部分を不適切に被覆することがある。次いで、基板上にPEALDベース被膜を堆積するために反応チャンバが使用されるとき、いくらかのPEALDベースの被膜が、反応チャンバのアンダーコートの上に堆積する。PECVD被膜とPEALD被膜が異なるメカニズムによって形成されるので、2つの被膜の被覆範囲は異なることがある。例えば、PEALDベースの被膜は、PECVDベースの被膜が達しなかった反応チャンバの領域にまで及ぶことがある。この被覆範囲の不一致は、チャンバ内部での剥落の一因となることがあり、したがって、ウェハ内部の不均一性とウェハ毎の不均一性との両方に影響を及ぼす。
さらに、PEALDベースの被膜の下にあるPECVDベースの被膜の存在は、これら2つの被膜の間の組成および/または応力の不一致をもたらすことがある。また、これらの不一致は、粒子剥落の問題の一因となり得る。したがって、反応チャンバアンダーコートを堆積する改良された方法が必要である。
アンダーコートは、反応チャンバ内で基板を処理する前に反応チャンバの内面上に形成される被膜の層と定義される。アンダーコートは、材料が基板上に堆積される通常の堆積プロセス中に生じる被膜蓄積とは異なる。基板上への堆積中に生じる蓄積とは対照的に、アンダーコートは、一般に、反応チャンバ内に基板がない状態で堆積される。さらに、アンダーコートは、裸のチャンバ表面(例えばアルミニウム)上に、またはチャンバ表面上の永久層(例えばフッ化アルミニウム)上に直接堆積させることができ、一方、基板上への堆積中に堆積される被膜は、典型的には、前に形成されたアンダーコートの上に堆積される場合には、これらの表面に接触しない。基板は、反応チャンバに出し入れされることがある固体材料部片であり、基板は、反応チャンバの一部ではなく、その上に被膜が堆積され、その上での被膜堆積が一般に望まれる。半導体デバイス製造の文脈で、半導体ウェハ(上に堆積された被膜を有する、または有さない)が、典型的な基板である。多くの場合に、基板は、ディスク形状であり、例えば200、300、または450mmの直径を有する。基板は、典型的には、多数回の処理を経て半導体デバイスになる。しかし、いくつかの他の基板は、十分に機能するデバイスになるものとは意図されていない。これらの基板は、ダミーウェハと呼ばれることがあり、それらは、例えば、堆積プロセスを評価するためのテストビークルとして、または反応チャンバを平衡させるための犠牲基板として使用されることがある。アンダーコートを堆積するとき、基板を有さないチャンバは、アンダーコートがチャンバ表面を均一にコーティングできるようにする。
また、アンダーコートは、いくつかのテストサイクル、例えば基板がない状態で比較的少数のサイクルで堆積プロセスが実行されるテストサイクル中にチャンバ表面上に堆積されることがある層とは異なる。1つの相違点は、アンダーコート形成プロセスが、望みの最小厚さの被膜を形成するために何度も繰り返されることである。この最小厚さは、望み通りにアンダーコートが作用する(例えば、剥落および粒子生成を最小限にする、および均一性を最大にする)ことができることを保証するのに重要である。
アンダーコートは、金属汚染および粒子剥落を減少する一助となることによって、および反応チャンバのインピーダンスの均衡を図る一助となることによって、均一性を改良することができる。アンダーコートなしで反応チャンバ内に堆積された被膜は、かなりの金属汚染の問題を有することが多い。多くの場合に、反応チャンバ自体が金属(例えばアルミニウム)からなる。コーティングされていない金属チャンバがプラズマに露出されるとき、チャンバ表面から少量の金属が剥がされ、基板被膜上に落ちることがある。これらの金属不純物は、基板上に形成されたデバイスの性能に悪影響を及ぼすことがあり、いくつかの場合には、デバイス故障をもたらすことがある。インピーダンスの均衡を図ることに関して、チャンバアンダーコートは、気相反応によって堆積するCVDベースの被膜を堆積するときに特に有用である。反応チャンバが金属からなり、基板上に堆積された被膜がしばしば誘電体材料であるので、反応チャンバのインピーダンスは、誘電体が堆積されるにつれて変化する。したがって、アンダーコートを提供することによって、チャンバのインピーダンスは、任意の基板が処理される前に比較的安定化されることがあり、それにより処理均一性を最大にする。
チャンバアンダーコートを堆積するためのいくつかの方法は、シランおよび亜酸化窒素を使用するPECVD法の使用を含む。この方法は、亜酸化窒素の使用に関係付けられるプラズマ加熱効果により、反応チャンバの温度を約4〜8℃上昇させることがある。加熱効果は、約100℃以下で行われる被膜堆積法に関して特に問題となる。これらの低い堆積温度では、プラズマ加熱効果はより顕著である。均一な様式で、均一な結果を伴うように基板を処理するために、CVDベースのアンダーコート法は、典型的には、アンダーコートの堆積と、新たにコーティングされた反応チャンバ内の基板上への被膜の堆積との間のかなり長いダウンタイム(例えば少なくとも約10分)、および/またはチャンバ温度を安定させるためのダミー堆積ウェハの使用を必要とする。さらに、基板が処理される前に反応チャンバからシランおよび亜酸化窒素化学物質を全て除去するために、複数回の大規模なフラッシュが実施されることがある。そうしないと、これらの反応物は、チャンバ内部で望ましくない反応を生じることがあり、これは、被膜の不均一性をもたらすことがある。ダウンタイム/ダミーウェハ/フラッシュは全て、比較的長い処理時間およびスループット減少の原因となる。
CVDベースのアンダーコートがALD反応チャンバ内で使用される場合、さらなる問題が生じ得る。例えば、基板上に被膜を堆積するためにチャンバが使用されるとき、CVDベースのアンダーコートと、アンダーコートの上に堆積されるALDベースの被膜との間の被覆範囲の不一致が存在することがある。また、CVDベースのアンダーコートと、アンダーコート上のALDベースの被膜との間で、組成および/または応力レベルの不一致が存在することもある。これらの不一致は、比較的低レベルの被膜蓄積で粒子生成/剥落を促すことがある。残念ながら、これは、反応チャンバをかなり頻繁に洗浄しなければならないことを意味する。洗浄時間中、反応チャンバは、基板上に被膜を堆積するために利用可能でない。この実施形態の一態様は、優れた粒子生成/剥落性能を示すアンダーコートを提供するものである。剥落の問題を低減させることによって、反応チャンバを度々洗浄する必要はなく、ある反応チャンバ洗浄操作から次の反応チャンバ洗浄操作までにより多くの基板を処理することができ、スループットを最大にすることができる。
図1は、反応チャンバ内で基板を処理する方法に関する流れ図を示す。図1に示される方法は、PECVDベースのアンダーコートが堆積されるプロセスに対応する。典型的な処理方式では、反応チャンバは、操作101の開始時に「清浄」である。これは、アンダーコート、または堆積された他の非永久的な被膜がチャンバ表面上に存在しないことを意味する。しかし、この時点で、反応チャンバ上に永久材料(例えばフッ化アルミニウム)の層が存在することがあり得る。フッ化アルミニウム層は、例えば、遠隔で生成されたフッ素プラズマを反応チャンバに導入することによって、前の操作で形成されることがある。反応チャンバのアルミニウム表面は、フッ化アルミニウムの層を形成するために、フッ素プラズマと反応することがある。このフッ化アルミニウム層は、実質的に永久的であり、一般に後の洗浄操作で除去されない。フッ化アルミニウムなど永久材料の層を有する反応チャンバは、「清浄」なチャンバとみなされる。
操作101のアンダーコート堆積プロセス中、シランと亜酸化窒素は、同時に反応チャンバ内に流される。反応チャンバ内でプラズマが点火され、シランと亜酸化窒素との気相反応を誘発して、非ドープケイ酸塩ガラス(USG)を形成する。いくつかの場合には、プラズマは、RFプラズマ発生器によって発生され、発生器を駆動させるために使用されるRF出力は、約1800W程度である(直径300mmの基板上での堆積に関して、4つのステーションに分けて)。反応チャンバ表面は、USGアンダーコート被膜でめっきされる。コーティングされる表面の例としては、チャンバ壁/天井/床、ペデスタル、基板キャリアリング、シャワーヘッド、排気システム、流体ライン、ポンプ、スピンドル、ウェハ移送アーム、フィラープレート、二次パージカラーなどが挙げられる。CVDベースのアンダーコート堆積中にアンダーコートの均一な層を受けない特定の表面が存在することがある。例えば、金属でない表面は、不適切な、あるいは不均一な被覆範囲を受ける可能性が特に高い。いくつかの場合には、これらの非金属部品は、基板キャリアリング、および関連のハードウェア、例えばリフトアーム/リフトピンを含む。これらの非金属部品は、金属部品が剥落し始める前に剥落されることが多い。この比較的早期の剥落は、CVD堆積されたアンダーコートと、基板上への堆積中にアンダーコートの上に堆積された被膜との被覆範囲、組成、および/または応力の不一致によるものであり得る。キャリアリングおよび他の構成要素を形成することができる非金属材料の例としては、セラミック材料が挙げられる。
操作101中、特にチャンバが比較的低温で(例えば約100℃未満で)維持されている場合、反応チャンバの温度は上昇しやすい。温度が変動すると、チャンバ温度が平衡するときに、連続する基板が異なる温度で処理されることになるので、ウェハ毎の不均一性に悪影響が及ぼされることがある。
不均一性の問題を低減するために、方法100は操作103に進むことができ、操作103で、高価な物質を処理する前に、反応チャンバの温度を望ましい安定したレベルに変えることができる。この待機時間中、反応チャンバは休止状態のままでよい。代替または追加として、反応チャンバを使用して、一連のダミーウェハの上に被膜を堆積することができ、ダミーウェハは、最終製品で使用するには望ましくない比較的安価な基板である。
操作105で、反応チャンバは、任意の望ましくない反応物を除去するために複数回パージ/フラッシュされる。多くの場合、PECVDベースのアンダーコートを堆積するために使用される反応物の1つまたは複数は、基板上に被膜を堆積するために使用される反応物とは適合しない。この化学性質の不一致は、特に、アンダーコートがCVD法によって堆積され、反応チャンバが、基板上にALDまたはCFD被膜を堆積するために使用される場合に生じやすい。この不一致は、基板上への被膜堆積中に望ましくない反応を引き起こすことがある。これらの望ましくない反応を避けるために、反応チャンバは、望ましくない反応物を除去するために複数回パージされる。パージは、チャンバから除去すべき種を含有しないガスを流すことを含むことがある。代替または追加として、パージは、反応チャンバを排気することを含むことがある。操作105は、操作103中、前、または後に行われることがある。
操作106で、基板上に被膜を堆積するために使用される反応物が、チャンバ表面をプレコートするために反応チャンバ内に事前に流される。これは、典型的には、基板を反応チャンバ内に入れる前に行われる。このプレコートは、ガス送給ハードウェア(例えば液体化学物質インジェクタやガス送給ラインなど)および装置の他の部分を、堆積中に使用される反応物に露出することによってプライムする一助となる。
次に、操作107で、反応チャンバ内で一連の基板が処理される。いくつかの場合には、材料は、ALDまたはCFD法によって基板上に堆積される。被膜が基板上に堆積されるとき、反応チャンバの内面にも被膜が堆積される。この被膜は、多くの基板を処理する過程にわたって蓄積し、最終的に剥離または剥落し始める。方法100によって約1μmの厚さに堆積されるUSGアンダーコートの一例では、チャンバの合計累積限度に達する前に約250枚の基板を処理することができる。この限度は、被膜剥落の徴候が現れる直前の時点での、チャンバ表面に堆積された(アンダーコートを含めた)被膜の量に対応する(が正確には同じではない)。チャンバの合計累積は、チャンバ表面上の被膜の量の直接の測定値でないことがある。そうではなく、いくつかの実施形態では、累積カウンタが、サイクル毎の予想される堆積厚さに基づいて被膜蓄積の量を追跡する。チャンバの合計累積限度は、プロセスの実施に基づいて、特定のシステムに関して選択されることがある。例えば、チャンバの合計累積限度は、チャンバが剥落を受け始めた累積カウンタ値のすぐ下の値に設定することができる。
次いで、基板が処理された後、操作109で、反応チャンバは、堆積された被膜およびアンダーコートを除去するためにインサイチュ洗浄プロセスを施される。この洗浄プロセスは、典型的には、基板が反応チャンバ内にないときに行われる。多くの場合、チャンバ洗浄プロセスは、気相種、例えばフッ素含有化合物を導入することを含むことがあり、この気相種は、堆積された被膜と反応して揮発性生成物を生成し、揮発性生成物は、チャンバからパージされることがある。次いで、新たに洗浄されたチャンバでこの処理方式を繰り返すことができる。
開示される実施形態は、ALDベースのアンダーコートを使用することがある。いくつかの実施形態では、アンダーコートは、反応チャンバ内で基板上に堆積された材料と同じ材料から形成されることがある。例示的な被膜タイプとしては、ドープまたは非ドープ酸化物、窒化物、炭化物、酸窒化物、炭窒化物、ホウ化物、貴金属、およびhigh−k材料(例えば、ランタニド酸化物、4族金属酸化物、および5族金属酸化物を含む)が挙げられる。酸化物は、非ドープケイ酸塩ガラス(USG)、およびドープケイ酸塩ガラスを含む広範な材料を含む。ドープガラスの例としては、ホウ素ドープケイ酸塩ガラス(BSG)、リンドープケイ酸塩ガラス(PSG)、およびホウ素リンドープケイ酸塩ガラス(BPSG)が挙げられる。いくつかの実施形態では、アンダーコートは、酸化ケイ素、窒化ケイ素、または炭化ケイ素である。酸化ケイ素アンダーコートは、基板上に酸化ケイ素被膜を堆積するために反応チャンバが使用される場合に特に有用であり得る。しかし、酸化ケイ素アンダーコートは、基板上に他のタイプの被膜を堆積するために使用されるチャンバ内で使用されることもある。いくつかの実施形態では、アンダーコートは、関連の反応チャンバ内で基板上に被膜を堆積するために使用されるのと同じプロセスおよびプロセス条件によって形成される。これらの重なり合うプロセス条件としては、特定の反応物、タイミング、RF出力/周波数、温度および/または圧力を挙げることができる。
図2は、開示されるいくつかの実施形態による反応チャンバ内で基板を処理する方法に関する流れ図を示す。図2に示される方法は、アンダーコートがCVD法ではなくALD法によって形成される点で、図1に示されるものとは異なる。これは、複数回の処理操作を不要にする。プロセス200は、操作201から始まり、操作201で、ALDベースのアンダーコートが堆積される。図1と同様に、反応チャンバは、典型的には、操作201の開始時には清浄である。堆積プロセス201は、周期的に、第1の反応物をチャンバに導入して、第1の反応物がチャンバ表面に吸着できるようにし、第1の反応物がチャンバ表面に吸着されている間に第2の反応物をチャンバに導入し、チャンバをプラズマに露出して、第1の反応物と第2の反応物との表面反応を誘発することを含む。アンダーコートがCFD法によって堆積されるいくつかの実施形態では、反応物の一方が連続的に送給されることがある。また、アンダーコート堆積201は、一方または両方の反応物の導入後、および/またはプラズマ露出後に、1つまたは複数のパージ操作を含むこともある。このアンダーコート堆積プロセス201は、図3および図4を参照してさらに述べる。アンダーコート堆積プロセス201を実施するための例示的なプロセス条件をさらに以下に述べるが、実施形態はこれらの特定の条件に限定されない。
ALDベースのアンダーコートが使用される場合、図1に関して説明した処理ステップのいくつかの必要性を減少させる、またはなくすことが可能である。例えば、いくつかの実施形態では、操作103(チャンバ温度が低下するのを待機する操作)、操作105(望ましくない反応物を除去するために反応チャンバをパージする操作)、および操作106(チャンバをプレコートするために反応物を事前に流す操作)をなくすことができる。他の実施形態では、これらの操作の1つまたは複数を行うことがある。これらの場合、列挙された操作の1つまたは複数を、従来の設定よりも迅速に行うことができる。例えば、CVDベースのアンダーコート堆積プロセスは、反応チャンバの温度を約4〜8℃上昇させることがあり、一方、ALDベースのアンダーコート堆積プロセスは、反応チャンバの温度をよりわずかにだけ、例えば多くの場合には1℃未満だけ上昇させることがある。したがって、反応チャンバの温度を平衡させるために待機する必要がある場合、この待機時間は、CVDベースのアンダーコート堆積プロセスに必要とされるよりもかなり短い。いくつかの実施形態では、反応チャンバは、1回または複数回パージされ、反応物は、操作201と操作207の間で反応チャンバに事前に流されることがある。これらの操作は、特に、アンダーコートと被膜とを基板上に堆積するために異なる反応物が使用される場合には、引き続き有用となり得る。しかし、アンダーコートと被膜とを基板上に堆積するために同じ反応物が使用される実施形態では、これらのパージするステップおよび事前に流すステップをなくすことができる。
プロセス200は操作207に進み、操作207で、反応チャンバ内で望み通りに基板上に材料が堆積される。いくつかの実施形態では、材料は、ALDまたはCFD法によって基板上に堆積される。上述したように、基板上に被膜を堆積するために使用されるプロセスおよびプロセス条件は、アンダーコートを堆積するために使用されるものと同じでよい。
次に、チャンバの合計累積限度に達し、剥落が始まる、または始まる可能性が高くなると、反応チャンバ内に存在する物質が除去され、チャンバが洗浄される。洗浄プロセスは、基板上への堆積中に堆積された被膜蓄積と、アンダーコートとの両方を除去する。操作209の最後に、反応チャンバは清浄であり、プロセス200を繰り返すことができる。
上記の説明は、多数のウェハを処理する文脈でALDベースのアンダーコートの堆積に焦点を当てているが、他の実施形態は、このプロセスのより限定された部分を対象とすることもある。例えば、いくつかの実施形態は、単一の洗浄プロセスの文脈でのALDベースのアンダーコートの堆積に関する。洗浄プロセスは、例えば、図2の操作209およびそれに続く操作201を含むことがある。この実施形態では、反応チャンバは、プロセスの開始時に、被膜(および必須ではないが場合によってはアンダーコート)で被覆される。反応チャンバは、本明細書の他の箇所で述べる洗浄方法に従って洗浄される。洗浄プロセスは、チャンバ表面上に存在する被膜およびアンダーコートを除去する。チャンバが洗浄された後、新たなアンダーコートが、操作201に関して述べたのと同様に、ALDベースの方法によって堆積される。
別の実施形態は、図2の操作201およびそれに続く操作207を含む、基板上に被膜を堆積する方法に関する。この実施形態では、方法は、清浄な反応チャンバから始まる。アンダーコートは、操作201に関して述べたのと同様に、ALDベースの方法によって堆積される。次に、基板が反応チャンバ内に装填され、操作207で、基板上に被膜が堆積される。この操作は、望みであれば、基板を取り外し、別の基板を処理することによって継続することができる。この実施形態では、反応チャンバの洗浄は行われないことがある。
別の実施形態は、図2の操作201に関して述べたのと同様に、反応チャンバ内にALDベースのアンダーコートを堆積する方法を対象とする。この実施形態は、反応チャンバ内に基板を配置することなく実施することができる。これは、ウェハレスALDアンダーコート堆積法と呼ばれることもある。上述したように、この方法は、図3および図4に関連してさらに論じる。
ALDベースのアンダーコートの使用は、いくつかの理由から有益である。まず、ALDベースのアンダーコートを、反応チャンバの温度を上昇させることなく堆積することができる。チャンバの温度が比較的一定であるので、ウェハ毎の均一性が改良される。いくつかの実施形態では、反応チャンバの温度は、アンダーコートの堆積中、約2℃以下、例えば約1℃以下上昇する。アンダーコート堆積プロセス中の温度の上昇が約1℃未満である場合、プロセスは、「等温」とみなすことができる。温度が安定しているALDベースの反応は、チャンバ温度を安定させるために長い休止時間またはダミーウェハの必要性を減少させる、またはなくす。さらに、基板上に堆積されたALDベースのアンダーコートとALDベースの被膜は、どちらも同じ化学物質の組を使用して形成することができる。これは、基板上に被膜を堆積する前に反応チャンバの複数回のフラッシュを行う必要をなくす。
ALDベースのアンダーコートを使用する別の利点は、それらが、CVDベースのアンダーコートよりも良い剥落/粒子性能を実現することである。以下の「実験」の項で、CVDまたはALDベースのアンダーコートを有する反応チャンバでの粒子性能に関する情報を提供する。実験的知見を簡単にまとめると、2000Åの厚さのALDベースの酸化シリコンアンダーコートにより、チャンバは、2μmの厚さのCVDベースのUSGアンダーコートを有するチャンバよりも約3倍多くの基板を処理できるようになった。処理される基板の数に関する上限は、反応チャンバ内部での剥落/粒子生成(すなわち、チャンバの合計累積限度)によって決定された。ALDベースのアンダーコートは、剥落が生じる前に、より高いチャンバの合計累積限度に達した。より高い合計累積限度は、あるチャンバ洗浄操作から次のチャンバ洗浄操作までに処理することができる基板の数の対応する増加と共に、スループットの増加に寄与する。多くの場合、チャンバ洗浄プロセスは、約20〜90分間行われて完了し、その時間中、反応チャンバは、基板を処理するために利用可能でない。より高温で実施される洗浄操作は、より低温で行われる洗浄よりも迅速に行うことができる。また、より厚い被膜蓄積は、より長い洗浄時間を必要とする。2μmの材料を除去するための50℃の洗浄操作は、約40分かかることがあり、4μmの材料を除去するための同じ洗浄操作は、約90分かかることがある。より高温(例えば400℃)では、4μmの材料層を約20分で洗浄/除去することができる。洗浄プロセスに関連付けられる必要なダウンタイムを最小限にするために、あるチャンバ洗浄操作から次のチャンバ洗浄操作までに処理することができる基板の数を最大にすることが有益である。
ALDベースのアンダーコートの関連の利益は、それらが、CVDベースのアンダーコートに必要な厚さに比べてはるかに低いアンダーコート厚さで適切な剥落/粒子性能を実現することである。より薄いアンダーコートは、材料があまり必要なく、またチャンバの合計累積限度に達する前により多くの基板を処理できるようにする(これはスループットに良い影響を与える)ので、望ましいことがある。
理論に拘束されることを望まずに、改良された粒子性能は、アンダーコートと、基板上への堆積中にアンダーコート上に堆積された被膜との類似性の増加によるものであると考えられる。類似性の増加は、被膜の被覆範囲、被膜の組成、被膜の応力などに関係することがある。剥落は、異なるタイプの被膜間の界面が存在する場合により生じやすく、被膜間の相違の度合いがより大きいほど、剥落が生じる可能性が高まると考えられる。アンダーコート上に堆積されるアンダーコートと被膜との界面で被膜の類似性を高めることによって、粒子生成を最小限にすることができる。
ALDベースのアンダーコートの1つの欠点は、堆積に比較的長時間かかることである。例えば、CVDベースのアンダーコートは、堆積に約3分かかることがある一方で、ALDベースのアンダーコートは、堆積に約15分かかることがある。この堆積時間の増加は、反応物の入念な管理、およびALD堆積プロセスの周期的な性質により生じる。CVDベースのアンダーコートが、例えばプラズマの存在下でシランおよび亜酸化窒素を基板に送給することによって単一のステップで形成することができる一方で、ALDベースの堆積はより複雑である。ALDベースのアンダーコートは、堆積にはるかに長い時間がかかるので、これらのアンダーコートは、処理時間の増加およびスループットの減少を引き起こすと考えられていた。
しかし、意外にも、ALDベースのアンダーコートは、より良い剥落/粒子生成性能を示すことが判明した。これは、有利には、あるチャンバ洗浄操作から次のチャンバ洗浄操作までに処理することができる基板の数を増加させる。さらに、ALDベースのアンダーコートが、CVDベースのアンダーコートで従来使用されているよりもはるかに薄いアンダーコート厚さで適切に作用することは知られていなかった。CVDベースのアンダーコートは、適切な性能のために約1〜2μmの厚さ(この厚さは、ゆっくりと蓄積する周期的なALDプロセスによって堆積するには非常に長い時間かかる)を必要とすることがあるが、適切に作用するALDベースのアンダーコートにはこの比較的大きい厚さは必要ない。そうではなく、適切なALDベースのアンダーコート性能は、約0.1〜0.2μmのアンダーコート厚さで実現された。この厚さは、CVDベースのアンダーコートに関して必要とされる厚さよりも約1桁低い。ALDベースとCVDベースのアンダーコート性能の比較は、以下に「実験」の項で示す。ALDベースのアンダーコートは、製造を遅らせ、スループットを減少させると考えられていたが、ALDベースのアンダーコート堆積プロセスの使用により生じる予想されていなかった利点が、アンダーコート堆積時間の増加を補って余りあることがあり、スループットの全体的な増加をもたらすことがある。
図3は、清浄な反応チャンバ内でALDベースのアンダーコートを堆積する方法に関する流れ図を提供する。上述したように、清浄な反応チャンバは、内面に、フッ化アルミニウムまたは他の材料の永久層を有することがある。方法300は、操作301から始まり、操作301で、第1の反応物が反応チャンバ内に導入される。アンダーコートが堆積されている間、反応チャンバは基板を含まない。操作301中、第1の反応物は、一般に、反応チャンバの表面に吸着し、反応物材料の層を形成する。この層は、必須ではないが一般に、反応物材料のモノレイヤである。次に、操作303で、第1の反応物がチャンバ表面に吸着される一方で、第2の反応物を反応チャンバ内に導入することができる。この第2の反応物も、反応チャンバの表面に吸着して、第2の反応物のモノレイヤを形成することができる。次いで、操作305で、反応チャンバは、第1の反応物と第2の反応物との表面反応を誘発するためにプラズマに露出される。これは、反応チャンバの内面上にアンダーコートの層を形成する。アンダーコートは、下にあるアルミニウムチャンバ部品と直接接触していても、フッ化アルミニウムの下層と直接接触していてもよい。いくつかの実施形態では、1つまたは複数のパージ操作は、操作301、303、および/または305後に行われることがある。パージは、パージされる種を含有しないガスで反応チャンバをスイープすることを含むことがある。代替または追加として、パージは、反応チャンバを排気し、低圧(例えば、約1Torr未満)へのポンプダウンを実施することを含むことがある。
また、図2および図3は第1および第2の反応物を表すが、いくつかの実施形態では、ALDベースのアンダーコートを形成するために単一の反応物を周期的に導入、吸着、および分解することができることに留意すべきである。さらに、いくつかの実施形態では、例えば3元被膜を形成するために、1つまたは複数の追加の反応物(例えば、第3の反応物)を導入することもできる。
図4は、ALDベースの酸化ケイ素アンダーコートを堆積する例示的な方法に関する流れ図を提供する。方法400は、操作401から始まり、操作401で、ケイ素含有反応物が反応チャンバ内に導入される。一例では、ケイ素含有反応物は、BTBAS(ビス(tert−ブチルアミノ)シラン、SiH2(NHC(CH332)である。ケイ素含有反応物は、約1.5〜2.5mL/分、例えば約1mL/分のレートで提供することができる。ケイ素含有反応物は、約0.1〜0.5秒、例えば約0.2秒の時間にわたって提供することができる。ケイ素含有反応物は、反応チャンバの表面に吸着する。反応チャンバの温度、例えば基板ホルダの温度は、約25〜450℃の間で保つことができる。次に、ケイ素含有反応物の流れを停止し、操作402で、反応チャンバがパージされる。パージは、反応チャンバ表面に強くは吸着されていないケイ素含有反応物残渣を除去する。パージは、反応チャンバ内に非反応ガスを流すことによって、および/または反応チャンバを排気することによって行うことができる。いくつかの実施形態では、反応チャンバは、約0.1〜0.5秒、例えば約0.2秒の時間にわたってパージされる。次に、操作403で、酸化反応物が反応チャンバに提供される。特定の例では、酸化反応物は、(SLM(standard liters per minute)単位で測定して)等しいまたは実質的に等しい流量でのO2/N2Oの混合物である。いくつかの実装形態では、酸化反応物は、SLM単位で測定して、約60%以下のN2O、または約50%以下のN2Oである。酸化反応物の流量は、合計で約10〜40SLMの間でよい。O2およびN2Oの流量は、個々に、約5〜20SLMの間、例えば約10SLMでよい。酸化反応物は、約0.05〜0.75秒、例えば約0.3秒間の時間にわたって、反応チャンバに提供することができる。次いで、操作405で、ケイ素含有反応物と酸化反応物との間の反応を誘発するために、チャンバをプラズマに露出させることができる。いくつかの実施形態では、プラズマは、RFプラズマ発生器によって発生される。RF発生器は、プラズマを発生させるために、約100〜2500W/ステーション、例えば約125W/ステーションを提供することができる。いくつかの実施形態では、操作403と405は、同時にまたは一部同時に行われる。プラズマ露出時間は、約0.05〜0.75秒の間、例えば約0.3秒でよい。次いで、プラズマが消滅した後に、反応チャンバをパージすることができる(図示せず)。パージは、約0.05〜0.5秒、例えば約0.15秒の時間にわたって行われることがある。提供される流量、時間、および反応物は、単なる例にすぎず、限定するものとは意図されていない。
酸化反応物または他の共反応物の流れは、連続的でもパルス式でもよい。流れが連続的であるいくつかの場合には、流れは、周期的に反応チャンバから逸らされることがある。この場合、酸化剤反応物は連続的に流れるが、反応チャンバ内へのその流れはパルスされる。いくつかの実施形態では、連続的な酸化剤の流れが使用されるが、酸化剤の流れは、酸化剤送給パルス中にのみ反応チャンバに導入され、プラズマ露出を引き起こすことがある。他の時間中には、酸化剤の流れは、処理装置の別の部分に逸らされることがある。
ALDおよびCFDベースの堆積法は、2011年4月11日出願の「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」という名称の米国特許出願第13/084,399号にさらに記載されており、その全体を参照により本明細書に組み込む。‘399号出願は、基板上への被膜の堆積に焦点を当てているが、本教示は、チャンバ内に基板がないときの、反応チャンバ上へのアンダーコートの堆積にも適用可能である。
反応物
開示される実施形態は、様々な種類のアンダーコートおよび被膜を形成するために様々な反応物と共に使用することができる。いくつかの実装形態を、酸素および亜酸化窒素と組み合わせたBTBASの文脈で述べるが、それらの実施形態はそれに限定されない。任意の適切なケイ素含有反応物および酸化剤を、酸化ケイ素アンダーコートおよび被膜の堆積のために使用することができる。同様に、窒化ケイ素アンダーコートおよび被膜の堆積に関して、任意の適切なケイ素含有反応物および窒素含有反応物を使用することができる。さらに、金属酸化物または金属窒化物アンダーコートおよび被膜の堆積に関して、任意の適切な金属含有反応物および共反応物を使用することができる。本明細書における技法は、多様な被膜化学反応を実施するのに有用である。
いくつかの実施形態では、ケイ素含有反応物を使用することができる。ケイ素含有反応物としては、例えば、シラン、ハロシラン、またはアミノシランを挙げることができる。シランは、水素基および/または炭素基を含むが、ハロゲンを含まない。シランの例は、シラン(SiH4)、ジシラン(Si26)、およびオルガノシラン、例えば、メチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルシラン、ジ−t−ブチルシランなどである。ハロシランは、少なくともハロゲン基を含有し、水素基および/または炭素基を含有することも、含有しないこともある。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランである。ハロシラン、特にフルオロシランは、ケイ素材料をエッチングすることができる反応性ハロゲン化合物種を生成することができるが、本明細書で述べるいくつかの実施形態では、ケイ素含有反応物は、プラズマが打ち込まれるときには存在しない。特定のクロロシランは、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、ケイ素原子に結合された少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素を含むこともある。アミノシランの例は、モノ−、ジ−、トリ−、およびテトラ−アミノシラン(それぞれ、H3Si(NH24、H2Si(NH22、HSi(NH23、およびSi(NH24)、ならびに、置換されたモノ−、ジ−、トリ−、およびテトラ−アミノシラン、例えば、t−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(tert−ブチルアミノ)シラン(SiH2(NHC(CH332、(BTBAS))、tert−ブチルシリルカルバメート、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3などである。アミノシランのさらなる例は、トリシリルアミン(N(SiH33)である。
他の場合には、堆積される被膜は、金属を含有する。形成することができる金属含有被膜の例は、アルミニウム、チタン、ハフニウム、タンタル、タングステン、マンガン、マグネシウム、ストロンチウムなどの酸化物および窒化物、ならびに元素金属被膜を含む。例示的な前駆体は、金属アルキルアミン、金属アルコキシド、金属アルキルアミド、金属ハロゲン化合物、金属β−ジケナネート、金属カルボニル、有機金属などを含むことがある。適切な金属含有前駆体は、被膜に取り込まれることが望まれる金属を含む。例えば、タンタル含有層は、ペンタキス(ジメチルアミド)タンタルをアンモニアまたは別の還元剤と反応させることによって堆積することができる。採用することができる金属含有前駆体のさらなる例としては、トリメチルアルミニウム、テトラエトキシチタン、テトラキス−ジメチル−アミドチタン、ハフニウムテトラキス(エチルメチルアミド)、ビス(シクロペンタジエニル)マンガン、ビス(n−プロピルシクロペンタジエニル)マグネシウムなどが挙げられる。
いくつかの実施形態では、堆積される被膜は、窒素を含有し、窒素含有反応物が使用される。窒素含有反応物は、少なくとも1つの窒素を含有し、例えば、アンモニア、ヒドラジン、アミン(例えば炭素を含有するアミン)、例えばメチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t−ブチルアミン、ジ−t−ブチルアミン、シクロプロピルアミン、sec−ブチルアミン、シクロブチルアミン、イソアミルアミン、2−メチルブタン−2−アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ−t−ブチルヒドラジン、ならびに芳香族を含有するアミン、例えばアニリン、ピリジン、およびベンジルアミンである。アミンは、第一級、第二級、第三級、または第四級(例えば、テトラアルキルアンモニウム化合物)でよい。窒素含有反応物は、窒素以外のヘテロ原子を含有することができ、例えば、ヒドロキシルアミン、t−ブチルオキシカルボニルアミン、およびN−t−ブチルヒドロキシアミンが、窒素含有反応物である。
いくつかの実装形態では、酸素含有反応物が使用される。酸素含有反応物の例としては、酸素、オゾン、亜酸化窒素、一酸化窒素、二酸化窒素、一酸化炭素、二酸化炭素、一酸化硫黄、二酸化硫黄、酸素を含有する炭化水素(Cxyz)、水、それらの混合物などが挙げられる。
タイミング
図3および図4、ならびにそれらの対応する説明は、ALDベースの方法によってアンダーコートを堆積するための全般的な概要を開示する。いくつかの場合には、(例えば他の反応物の送給中および/またはプラズマ露出中でさえ)反応物の1つを連続的に送給することができる。連続的に流れる反応物は、キャリアガス(例えばアルゴンやヘリウムなど)と共に反応チャンバに送給することができる。典型的には、酸化物または窒化物アンダーコートが堆積される実施形態では、連続的に流れる反応物は、酸素または窒素含有反応物である。反応物が連続的に送給される場合、堆積は、共形被膜堆積(CFD)法と呼ばれることがある。これらのCFD法は、本発明の実施形態の範囲内にある。他の場合には、全ての反応物がパルス式に送給されることがある。この場合には、反応物パルスは、交互に反応チャンバに送給することができ、それにより、所与の時点にただ1つの反応物が反応チャンバに導入される。
上述したように、ALDベースのアンダーコートの使用は、アンダーコートの堆積と基板上での堆積とを平衡させるために、反応チャンバ温度を待機する必要性を減少させる、またはなくすことができる。また、ALDベースのアンダーコートは、望ましくないアンダーコート反応物を除去するために反応チャンバを複数回フラッシュする必要性、および基板上に被膜を形成するために使用される反応物を事前に流す必要性をなくすこともできる。したがって、いくつかの実施形態では、アンダーコートが形成された直後に、反応チャンバ内で基板上に被膜を堆積することができる。いくつかの場合には、基板上に被膜を形成するための第1の反応物は、アンダーコートが形成された後、約5分以内に、ダミーでない基板を内部に有する反応チャンバに送給される。いくつかの実施形態では、アンダーコート形成プロセスの終了と、基板上に被膜を形成するための反応物の送給との間の時間は、約3分未満、約1分未満、または約30秒未満に短縮することができる。
ALD堆積中の反応チャンバ条件
開示される実施形態は、特定の反応チャンバ温度や圧力などと共に使用することに限定されない。アンダーコートの堆積中および/または基板上への被膜の堆積中の典型的な反応チャンバ温度は、約25〜450℃の範囲である。しかし、開示される実施形態のスループットの利益は、反応チャンバ内で基板上に被膜を堆積するためのプロセスが比較的低温で、例えば約200℃未満、いくつかの場合には100℃未満で行われる場合に最も効果的になり得る。これらおよび他の場合に、温度は、少なくとも約25℃でよい。いくつかの場合には、ALDベースのアンダーコートは、より高温で基板に被膜を堆積するために使用される反応チャンバと共に使用される。ALDベースのアンダーコートは、CVDベースのアンダーコートで可能なよりも高い温度で処理を実施できるようにするので、これらの高温で有益となり得る。すなわち、ALDベースのアンダーコートは、基板上に堆積されるALDベースの被膜に関する可能な処理窓を広げることができる。
アンダーコートの堆積中および/または基板上への被膜の堆積中の反応チャンバ内の圧力は、約1〜10Torrの間でよい。
ALD堆積中のプラズマ発生条件
PEALD操作において、第1の反応物と第2の反応物の反応を誘発するために、基板がプラズマに露出される。容量結合プラズマおよび誘導結合プラズマを含め、この反応を誘発するために様々なタイプのプラズマを使用することができる。RF、DC、およびマイクロ波プラズマ発生器を含めた様々なタイプのプラズマ発生器を使用することができる。さらに、様々な実施形態によれば、プラズマは、直接でも遠隔でもよい。
RFプラズマ発生器が使用される場合、RF出力は、直径300mmのウェハに関して計算したときに、約100〜2500W/ステーションの間でよい。出力レベルは、基板面積に線形比例し、他のサイズの基板に関しては、それに従って大きさを調整することができる。例えば、300mmウェハに関する500W/ステーションのRF出力は、450mmウェハに関する約1125W/ステーションのRF出力に対応する。プラズマを誘発するために使用されるRF周波数は、高周波(HF)および/または低周波(LF)成分を有することがある。例示的なHF RF周波数は、限定はしないが、約1.8MHz〜2.45GHzの間の周波数を含むことがある。一般的なHF周波数は、13.56MHzおよび27MHzを含む。例示的なLF周波数は、限定はしないが、約50〜500kHzの間の周波数を含むことがある。いくつかの実施形態では、HF周波数のみが使用される。他の実施形態では、HF周波数に加えて、LF周波数が使用される。他の実施形態では、LF周波数のみが使用される。
プラズマを発生するために使用されるガスは様々なものでよい。いくつかの実施形態では、反応物の1つ(例えば酸素含有反応物または窒素含有反応物)が気体状態で反応チャンバ内に存在しているときにプラズマを点火することができ、それによりこの反応物からプラズマが発生される。代替または追加として、プラズマは、不活性ガスから発生させることができる。
反応チャンバの洗浄
開示される実施形態は、任意の特定のチャンバ洗浄法に限定されない。堆積される被膜およびアンダーコート全てまたは実質的に全てを除去する任意の方法を使用することができる。チャンバ洗浄法は、さらに、以下の米国特許および米国特許出願において論じられて説明されており、各特許文献の全体をあらゆる目的で本明細書に組み込む。「METHOD FOR ENDPONTING CVD CHAMBER CLEANS FOLLOWING ULTRA LOW−K FILM TREATMENTS」という名称の米国特許第7,479,191号;「METHODS AND APPARATUS FOR CLEANING DEPOSITION REACTORS」という名称の米国特許第8,262,800号;2009年1月16日出願の「PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER」という名称の米国特許出願第12/355,601号;および2012年10月17日出願の「METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS」という名称の米国特許出願第13/654,303号。
堆積チャンバを洗浄するためによく使用される2つの一般的なタイプのチャンバ洗浄法が存在する。これらは、プラズマ洗浄法(時として乾式洗浄法と呼ばれる)と、湿式洗浄法とを含む。
プラズマ洗浄処置は、インサイチュチャンバ洗浄または遠隔チャンバ洗浄を使用して行うことができる。インサイチュチャンバ洗浄では、チャンバ洗浄化学物質が気体状態で反応チャンバ内に導入され、反応チャンバ内部でのプラズマ励起が、チャンバ洗浄化学物質を解離して反応性ラジカルおよびイオンにするために使用される。遠隔洗浄では、独立したプラズマ源が使用され、反応チャンバの外部で、気体状のチャンバ洗浄化学物質を解離して強い反応性のラジカルおよびイオンにし、次いで、解離されたチャンバ洗浄化学物質が反応チャンバ内に導入される。インサイチュチャンバ洗浄と遠隔チャンバ洗浄どちらにおいても、チャンバ内の反応性種が、累積された堆積された被膜およびアンダーコートと反応して気体生成物を生成し、これらの気体生成物は、チャンバから排気される。多くの場合、チャンバ洗浄化学物質は、フッ素含有種、例えばNF3を含み、これは、HeやArなど不活性ガスと任意選択で混合することができる。フッ素含有洗浄化学物質が使用される場合、チャンバ内に存在するSiO2は、SiF4に変換されることがあり、これは、反応チャンバをパージする(例えば、スイープおよび/または排気する)ことによって除去することができる揮発性物質である。
数回のプラズマ洗浄サイクル後、基板堆積プロセスは性能低下することがあり、そこで湿式洗浄が使用されることがある。湿式洗浄処置では、反応チャンバが雰囲気に通気され、リアクタの内面が、適切な洗浄溶液(例えば、イソプロパノール(IPA)および/または水)および/または摩耗剤を使用して物理的に擦り落とされる。湿式洗浄は、プラズマ洗浄法では効果的に洗浄されなかった厚い剥落された累積物を除去する。このタイプの洗浄処置は、時間がかかり、労力もかかり、完了後にチャンバの再調整を必要とすることがある。例えば、湿式洗浄法は、アンダーコートの下のフッ化アルミニウムの層を除去することができる。したがって、湿式洗浄法は時折使用され、可能であるときにはプラズマ洗浄法が使用される。
装置
上述した実施形態と共に任意の適切なプロセスステーションを採用することができることを理解されたい。例えば、図5Aは、ALD/CFDプロセスステーション1300の一実施形態を概略的に示す。分かりやすくするために、プロセスステーション1300は、低圧環境を維持するためのプロセスチャンバ本体1302を有する独立型のプロセスステーションとして図示されている。しかし、一般的なプロセスツール環境では複数のプロセスステーション1300が含まれることがあることを理解されたい。例えば、図6は、マルチステーション処理ツール2400の一実施形態を示す。さらに、いくつかの実施形態では、上で詳述したものを含むプロセスステーション1300の1つまたは複数のハードウェアパラメータを、1つまたは複数のコンピュータ制御装置によってプログラム可能に調節することができることを理解されたい。
ALD/CFDプロセスステーション1300は、分散シャワーヘッド1306にプロセスガスを送給するための反応物送給システム1301と流体連絡する。反応物送給システム1301は、シャワーヘッド1306に送給するためにプロセスガスを混合および/または調整するための混合容器1304を含む。1つまたは複数の混合容器入口弁1320が、混合容器1304へのプロセスガスの導入を制御することができる。
BTBASなどいくつかの反応物は、液状で貯蔵することができ、その後、気化され、次いでプロセスステーションに送給される。例えば、図5Aの実施形態は、混合容器1304に供給される液体反応物を気化させるための気化点1303を含む。いくつかの実施形態では、気化点1303は、加熱気化器でよい。そのような気化器から生成される飽和反応物蒸気は、下流の送給パイピング内で凝縮することがある。凝縮された反応物に不適合なガスがさらされると、小さな粒子が生じることがある。これらの小さな粒子は、例えば、配管に詰まったり、弁の操作を妨げたり、基板を汚染したりする。これらの問題に対処するためのいくつかの手法は、残余反応物を除去するために送給配管をスイープおよび/または排気することを含む。しかし、送給配管のスイープは、プロセスステーションサイクル時間を増加させることがあり、プロセスステーションスループットを低下させる。したがって、いくつかの実施形態では、気化点1303の下流の送給配管は、ヒートトレースされることがある。いくつかの例では、混合容器1304もヒートトレースされることがある。1つの非限定的な例では、気化点1303よりも下流の配管は、混合容器1304で約100℃から約150℃に及ぶ増加する温度プロファイルを有する。
いくつかの実施形態では、反応物液体は、液体インジェクタで気化されることがある。例えば、液体インジェクタは、混合容器の上流のキャリアガスストリーム内に液体反応物のパルスを注入することができる。1つのシナリオにおいて、液体インジェクタは、より高い圧力からより低い圧力に液体をフラッシュすることによって反応物を気化させることができる。別のシナリオでは、液体インジェクタは、液体を霧化して、分散された微小滴にすることができ、これらの微小滴が、その後、加熱された送給パイプ内で気化される。より小さな小滴は、より大きな小滴よりも速く気化することができ、液体注入と完全な気化との間の遅延を減少することを理解されたい。より速い気化は、気化点1303よりも下流の配管の長さを減少させることができる。1つのシナリオでは、液体インジェクタは、混合容器1304に直接取り付けることができる。別のシナリオでは、液体インジェクタは、シャワーヘッド1306に直接取り付けることができる。
いくつかの実施形態では、気化してプロセスステーション1300に送給するための液体の質量流量を制御するために、気化点1303よりも上流にある液体流量制御装置を提供することができる。例えば、液体流量制御装置(LFC)は、LFCの下流に位置されたサーマルマスフローメータ(MFM)を含むことができる。次いで、LFCのプランジャ弁は、MFMとの電気通信で、比例積分微分(PID)制御装置によって提供されるフィードバック制御信号に応答して調節することができる。しかし、これは、フィードバック制御を使用して液体の流れを安定させるために1秒以上かかることがある。これは、液体反応物を投与するための時間を延ばすことがある。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えることができる。いくつかの実施形態では、LFCは、LFCおよびPID制御装置のセンスチューブを使用不能にすることによって、フィードバック制御モードから直接制御モードに動的に切り替えることができる。
シャワーヘッド1306は、基板1312に向けてプロセスガスを分散させる。図5Aに示される実施形態では、基板1312は、シャワーヘッド1306の下に位置され、ペデスタル1308上に載置されているものとして図示されている。シャワーヘッド1306は、任意の適切な形状を有することがあり、基板1312にプロセスガスを分散させるための任意の適切な数および配置のポートを有することがある。
いくつかの実施形態では、微小体積1307が、シャワーヘッド1306の下に位置される。プロセスステーションの体積全体ではなく微小体積内でCFDプロセスを実施することで、反応物露出およびスイープ時間を短縮することができ、プロセス条件(例えば圧力や温度など)を変更するための時間を短縮することができ、プロセスガスへのプロセスステーションロボットの露出を制限することができる。例示的な微小体積サイズは、限定はしないが、0.1リットル〜2リットルの間の体積を含む。
いくつかの実施形態では、ペデスタル1308は、微小体積1307に基板1312を露出するため、および/または微小体積1307の体積を変えるために上昇または下降させることができる。例えば、基板移送段階では、ペデスタル1308は、ペデスタル1308上に基板1312を装填できるように下降させることができる。ALD/CFDプロセス段階中、ペデスタル1308は、基板1312を微小体積1307内部に位置決めするために上昇させることができる。いくつかの実施形態では、微小体積1307は、基板1312、およびペデスタル1308の一部分を完全に取り囲み、ALD/CFDプロセス中に高フローインピーダンスの領域を形成することができる。
任意選択で、ペデスタル1308は、微小体積1307内部で、プロセス圧力や反応物濃度などを変更するために、ALD/CFD処理のいくつかの部分において下降および/または上昇させることができる。プロセスチャンバ本体1302が、プロセス中にベース圧力である1つのシナリオでは、ペデスタル1308を下降させることで、微小体積1307を排気することができる。微小体積とプロセスチャンバ体積との例示的な比率は、限定はしないが、1:500〜1:10の間の体積比を含む。いくつかの実施形態では、ペデスタル高さは、適切なコンピュータ制御装置によってプログラム可能に調節することができることを理解されたい。
別のシナリオでは、ペデスタル1308の高さを調節することで、ALD/CFDプロセスに含まれるプラズマ活性化および/または処理サイクル中にプラズマ密度を変えることができる。ALD/CFDプロセス段階の終了時、ペデスタル1308は、ペデスタル1308からの基板1312の取外しを可能にするために、別の基板移送段階中に下降させることができる。
本明細書で述べる例示的な微小体積変形形態は、高さ調節可能なペデスタルに言及するが、いくつかの実施形態では、シャワーヘッド1306の位置をペデスタル1308に対して調節して微小体積1307の体積を変えることもできることを理解されたい。さらに、ペデスタル1308および/またはシャワーヘッド1306の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変えることができることを理解されたい。いくつかの実施形態では、ペデスタル1308は、基板1312の向きを回転させるための回転軸を含むことがある。いくつかの実施形態では、これらの例示的な調節の1つまたは複数は、1つまたは複数の適切なコンピュータ制御装置によってプログラム可能に実施することができることを理解されたい。
図5Aに示される実施形態に戻ると、シャワーヘッド1306およびペデスタル1308は、プラズマに電力供給するためのRF電源1314および整合ネットワーク1316と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、RF源出力、RF源周波数、およびプラズマ出力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源1314および整合ネットワーク1316は、望まれるラジカル種組成を有するプラズマを生成するために、任意の適切な出力で動作させることができる。適切な出力の例は上述した。同様に、RF電源1314は、任意の適切な周波数のRF出力を提供することができる。いくつかの実施形態では、RF電源1314は、互いに独立して高周波RF電源と低周波RF電源を制御するように構成することができる。例示的な低周波RF周波数は、限定はしないが、50kHz〜500kHzの間の周波数を含むことがある。例示的な高周波RF周波数は、限定はしないが、1.8MHz〜2.45GHzの間の周波数を含むことがある。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータを離散的または連続的に変更することができることを理解されたい。1つの非限定的な例では、プラズマ出力は、断続的にパルスされることがあり、連続的に電力供給されるプラズマに比べて基板表面とのイオン衝突を減少する。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってインサイチュで監視することができる。1つのシナリオでは、プラズマ出力は、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって監視することができる。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光センサ(OES)によって測定することができる。いくつかの実施形態では、1つまたは複数のプラズマパラメータは、そのようなインサイチュプラズマモニタからの測定値に基づいて、プログラム可能に調節することができる。例えば、OESセンサは、プラズマ出力のプログラム制御を提供するためのフィードバックループで使用することができる。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するために他のモニタを使用することができることを理解されたい。そのようなモニタとしては、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力変換器を挙げることができる。
いくつかの実施形態では、プラズマは、入出力制御(IOC)シーケンス命令を介して制御することができる。一例では、プラズマ活性化段階のためのプラズマ条件を設定するための命令は、プロセスレシピの対応するプラズマ活性化レシピ段階に含まれることがある。いくつかの場合には、プロセスレシピ段階は、順次に構成されることがあり、それにより、プロセス段階に関する全ての命令が、そのプロセス段階と同時に実行される。いくつかの実施形態では、1つまたは複数のプラズマパラメータを設定するための命令は、プラズマプロセス段階よりも前のレシピ段階に含まれることがある。例えば、第1のレシピ段階は、不活性ガスおよび/または反応物ガスの流量を設定するための命令、出力設定値にプラズマ発生器を設定するための命令、および第1のレシピ段階に関する時間遅延命令を含むことがある。第2の後続のレシピ段階は、プラズマ発生器を使用可能にするための命令、および第2のレシピ段階に関する時間遅延命令を含むことがある。第3のレシピ段階は、プラズマ発生器を使用不能にするための命令、および第3のレシピ段階に関する時間遅延命令を含むことがある。これらのレシピ段階は、本開示の範囲内で任意の適切な様式でさらに細分化および/または反復することができることを理解されたい。
いくつかの堆積プロセスでは、プラズマ衝突は、数秒以上続く。本明細書で述べるいくつかの実施形態では、処理サイクル中に、はるかに短いプラズマ衝突が適用されることがある。これらは、50ミリ秒〜1秒程度でよく、0.25秒が、具体的な例である。そのような短いRFプラズマ衝突は、プラズマの迅速な安定化を必要とする。これを達成するために、プラズマ発生器は、周波数は浮動された状態で、インピーダンス整合が特定の電圧に予め設定されるように構成することができる。従来高周波プラズマは、RF周波数で、約13.56MHzで発生される。本明細書で開示する様々な実施形態において、周波数は、この標準的な値とは異なる値に浮動される。インピーダンス整合を所定の電圧に固定しながら周波数を浮動させることによって、プラズマは、はるかに迅速に安定することができ、この結果は、ALD/CFDサイクルに関連して非常に短いプラズマ衝突を使用するときに重要となり得る。
いくつかの実施形態では、ペデスタル1308は、ヒータ1310によって温度制御されることがある。さらに、いくつかの実施形態では、プロセスステーション1300のための圧力制御は、バタフライバルブ1318によって提供されることがある。図5Aの実施形態で示されるように、バタフライバルブ1318は、下流の真空ポンプ(図示せず)によって提供される真空をスロットル調整する。しかし、いくつかの実施形態では、プロセスステーション1300の圧力制御は、プロセスステーション1300に導入される1つまたは複数のガスの流量を変えることによって調節することもできる。
プロセスステーション1300の内面は、アンダーコート1350でコーティングされる。アンダーコートでコーティングされる表面の例としては、チャンバ壁1302、チャンバ天井および床、ペデスタル1308、およびシャワーヘッド1306が挙げられる。図5Aは、プロセスステーション1300内に基板1312を有するものとして図示されているが、この基板1312は、アンダーコートの堆積中には存在しない。基板1312は、アンダーコートが堆積された後、基板1312上に被膜を堆積するためにプロセスステーション1300の使用準備が完了したときに、プロセスステーション1300に導入される。
図5Bは、反応チャンバ500の別の図を示す。基板上に被膜を堆積するために使用されるとき、基板(図示せず)は、基板キャリアリング531上に位置決めされ、基板キャリアリング531は、ペデスタル504(基板支持体とも呼ばれる)によって支持され、ペデスタル504は、支持ピラー508によって支持される。プロセスガスは、入口551を通して反応チャンバに提供される。この実施形態では、プラズマを発生するために遠隔プラズマ発生器550を使用することができる。入口551を通過後、反応物および他のプロセスガスは、シャワーヘッド502を通って反応チャンバに入る。反応チャンバの内面(少なくとも、シャワーヘッド502と、支持体508と、ペデスタル504と、基板キャリアリング531と、反応チャンバ500の壁、床、および天井とを含む)が、アンダーコート506でコーティングされる。アンダーコート506の厚さは、例示のために誇張されている。いくつかの実施形態では、内面のいくつか(例えば基板キャリアリング)は、セラミックなど非金属材料から形成されることがあり、または複雑な幾何形状を有することもある。CVDベースの方法がチャンバをコーティングするために使用される場合、これらの非金属部品および複雑な幾何形状の部品は、多くの場合、アンダーコートの均一なコーティングを受けない。例えば、アンダーコートは、特定の領域を完全にはコーティングしないことがあり、または他の領域ではコーティングが厚くなりすぎることがある。開示されるALDベースのアンダーコート形成法のいくつかの実施形態では、これらの非金属部品および複雑な部品でさえ、均一な共形のアンダーコートを受ける。均一なアンダーコートは、特に基板キャリアリングなど非金属部品上で、CVDベースのアンダーコートに比べて剥落に対する優れた耐性を提供する。いくつかの実施形態では、キャリアリングは、上側位置と下側位置との間で可動である。いくつかの実施形態では、アンダーコート堆積は、堆積のために全ての表面がアクセス可能になるように上側位置でキャリアリングによって実施されることがある。
上述したように、1つまたは複数のプロセスステーションは、マルチステーション処理ツール内に含まれることがある。図6は、投入ロードロック2402と排出ロードロック2404を備えるマルチステーション処理ツール2400の一実施形態の概略図を示し、ロードロックの一方または両方が、遠隔プラズマ源を備えることができる。ロボット2406は、大気圧で、ウェハを、ポッド2408を通して装填されたカセットから大気圧ポート2410を通して投入ロードロック2402内に移動させるように構成される。ロボット2406によって投入ロードロック2402内でペデスタル2412上にウェハが配置され、大気圧ポート2410が閉じられ、ロードロックがポンプダウンされる。投入ロードロック2402が遠隔プラズマ源を備える場合、ウェハは、処理チャンバ2414内に導入される前に、ロードロック内で遠隔プラズマ処理を受けることがある。さらに、例えば水分および吸着ガスを除去するために、ウェハが投入ロードロック2402内で加熱されることもある。次に、処理チャンバ2414へのチャンバ輸送ポート2416が開かれ、別のロボット(図示せず)が、処理のためにリアクタ内に図示されている第1のステーションのペデスタル上に、ウェハをリアクタ内に配置する。図6に示される実施形態はロードロックを含むが、いくつかの実施形態では、プロセスステーション内へのウェハの直接の進入を可能にすることができることを理解されたい。
図示される処理チャンバ2414は、4つのプロセスステーションを備え、図6に示される実施形態では番号1〜4を付されている。各ステーションは、加熱されたぺデスタル(ステーション1に関して参照番号2418で示される)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションが、異なるまたは複数の目的を有することがあることを理解されたい。例えば、いくつかの実施形態では、プロセスステーションは、ALDモード、CFDモード、およびCVDプロセスモードの間で切替え可能でよい。追加または代替として、いくつかの実施形態では、処理チャンバ2414は、ALD/CFD/CVDプロセスステーションの1つまたは複数の適合された対を含むことができる。図示される処理チャンバ2414は4つのステーションを備えるが、本開示による処理チャンバが任意の適切な数のステーションを有することができることを理解されたい。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有することがあり、他の実施形態では、処理チャンバは、3つ以下のステーションを有することがある。
図6は、処理チャンバ2414内部でウェハを移送するためのウェハ取扱いシステム2490の一実施形態も示す。いくつかの実施形態では、ウェハ取扱いシステム2490は、様々なプロセスステーション間、および/またはプロセスステーションとロードロックとの間でウェハを移送することができる。任意の適切なウェハ取扱いシステムを採用することができることを理解されたい。非限定的な例としては、ウェハカルーセルおよびウェハ取扱いロボットが挙げられる。また、図6は、プロセスツール2400のプロセス条件およびハードウェア状態を制御するために採用されるシステム制御装置2450の一実施形態を示す。システム制御装置2450は、1つまたは複数のメモリデバイス2456と、1つまたは複数のマスストレージデバイス2454と、1つまたは複数の処理装置2452とを含むことがある。処理装置2452は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置ボードなどを含むことがある。
いくつかの実施形態では、システム制御装置2450は、プロセスツール2400の全ての活動を制御する。システム制御装置2450は、マスストレージデバイス2454に記憶され、メモリデバイス2456にロードされ、処理装置2452で実行されるシステム制御ソフトウェア2458を実行する。システム制御ソフトウェア2458は、タイミング、ガスの混合、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、ウェハ温度、ターゲット出力レベル、RF出力レベル、RF露出時間、基板ペデスタル、チャックおよび/またはサセプタ位置、およびプロセスツール2400によって実施される特定のプロセスの他のパラメータを制御するための命令を含むことがある。これらのプログラムされたプロセスは、限定はしないが、アンダーコートの堆積に関係付けられるプロセス、基板上への被膜の堆積に関係付けられるプロセス、およびチャンバの洗浄に関係付けられるプロセスを含めた様々なタイプのプロセスを含むことがある。システム制御ソフトウェアは、任意の適切な様式で構成されることがある。例えば、様々なプロセスツールプロセスを実施するのに必要なプロセスツール構成要素の動作を制御するために、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトが書かれることがある。システム制御ソフトウェア2458は、任意の適切なコンピュータ可読プログラミング言語でコード化されることがある。
いくつかの実施形態では、システム制御ソフトウェア2458は、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含むことがある。例えば、ALDアンダーコート堆積プロセスの各段階は、システム制御装置2450によって実行される1つまたは複数の命令を含むことがある。ALD/CFDアンダーコート堆積プロセス段階に関するプロセス条件を設定するための命令は、対応するALD/CFDアンダーコート堆積レシピ段階に含まれることがある。いくつかの実施形態では、レシピ段階は、順次に構成されることがあり、それにより、プロセス段階に関する全ての命令が、そのプロセス段階と同時に実行される。
いくつかの実施形態では、システム制御装置2450に関連付けられるマスストレージデバイス2454および/またはメモリデバイス2456に記憶されている他のコンピュータソフトウェアおよび/またはプログラムを採用することもできる。この目的でのプログラムまたはプログラムの一部の例としては、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、プロセスツール構成要素のためのプログラムコードを含むことがあり、プロセスツール構成要素は、ペデスタル2418上に基板を装填するため、および基板とプロセスツール2400の他の部分との間の間隔を制御するために使用される。位置決めプログラムは、アンダーコートを形成するため、基板上に被膜を堆積するため、およびチャンバを洗浄するために、必要に応じて反応チャンバ内外に基板を適切に移動するための命令を含むことがある。これらは、ALD/CFDベースのアンダーコートの堆積中、および洗浄プロセス中に反応チャンバ内に基板がないことを保証するための命令を含むことがある。
プロセスガス制御プログラムは、ガス組成および流量を制御するため、および任意選択で、プロセスステーション内の圧力を安定させるために、堆積前に1つまたは複数のプロセスステーション内にガスを流すためのコードを含むことがある。いくつかの実施形態では、プロセスガス制御プログラムは、反応チャンバ上へのアンダーコートの形成中に特定のガスを導入するための命令、および反応チャンバ内の基板上への被膜の形成中に同じガスを導入するための命令を含む。また、プロセスガス制御プログラムは、アンダーコートの形成中と基板上への被膜の堆積中に、同じ時間にわたって同じ流量でこれらのガスを送給するための命令を含むこともある。
圧力制御プログラムは、例えば、プロセスステーションの排気システム内のスロットル弁や、プロセスステーション内へのガス流量などを調整することによって、プロセスステーション内の圧力を制御するためのコードを含むことがある。圧力制御プログラムは、基板上への被膜の堆積中と同じ圧力を、反応チャンバ上へのアンダーコートの堆積中に維持するための命令を含むことがある。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことがある。代替として、ヒータ制御プログラムは、基板へのヒータ移送ガス(ヘリウムなど)の送給を制御することがある。ヒータ制御プログラムは、アンダーコートの堆積中と基板上への被膜の堆積中とで反応チャンバおよび/または基板ホルダ内で同じ温度を維持するためのコードを含むことがある。
プラズマ制御プログラムは、本明細書におけるいくつかの実施形態によれば、1つまたは複数のプロセスステーション内でRF出力レベル、周波数、および露出時間を設定するためのコードを含むことがある。いくつかの実施形態では、プラズマ制御プログラムは、反応チャンバ上へのアンダーコートの堆積中と基板上への被膜の堆積中に、同じRF出力レベルおよび/または周波数および/または露出時間を使用するための命令を含むことがある。
いくつかの実施形態では、システム制御装置2450に関連付けられるユーザインターフェースが存在することがある。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、およびユーザ入力デバイス(例えば、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなど)を含むことがある。
いくつかの実施形態では、システム制御装置2450によって調節されるパラメータは、プロセス条件に関係することがある。非限定的な例としては、プロセスガス組成および流量、温度、圧力、プラズマ条件(例えば、RFバイアス出力レベルおよび露出時間)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供することができ、これは、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システム制御装置2450のアナログおよび/またはデジタル入力接続機構によって提供されることがある。プロセスを制御するための信号は、プロセスツール2400のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例としては、マスフローコントローラ、圧力センサ(マノメータなど)、熱電対などが挙げられる。これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムを使用して、プロセス条件を維持することができる。
システム制御装置2450は、上述した堆積プロセスを実施するためのプログラム命令を提供することができる。プログラム命令は、DC出力レベル、RFバイアス出力レベル、圧力、温度など様々なプロセスパラメータを制御することができる。本明細書で述べる様々な実施形態によれば、命令は、被膜スタックのインサイチュ堆積を操作するためにパラメータを制御することができる。
システム制御装置は、典型的には、1つまたは複数のメモリデバイスと、本発明による方法を装置が実施するように命令を実行するように構成された1つまたは複数の処理装置とを含む。本発明によるプロセス操作を制御するための命令を含む機械可読な非一時的な媒体が、システム制御装置に結合されることがある。
上述した様々なハードウェアおよび方法実施形態は、リソグラフィパターン形成ツールまたはプロセスと共に、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの作製または製造に使用されることがある。必須ではないが、典型的には、そのようなツール/プロセスは、共通の製造施設で一緒に使用または実施される。
被膜のリソグラフィパターン形成は、典型的には、以下のステップのいくつかまたは全てを含み、各ステップが、いくつかの使用可能なツールを用いて可能にされる。(1)スピンオンまたはスプレーオンツールを使用して、ワークピース、例えば窒化ケイ素被膜を上に有する基板にフォトレジストを塗布するステップ;(2)ホットプレートまたは炉または他の適切な硬化ツールを使用してフォトレジストを硬化するステップ;(3)ウェハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ;(4)ウェットベンチまたはスプレーディベロッパなどのツールを使用して、レジストを現像し、レジストを選択的に除去し、それによりレジストをパターン形成するステップ;(5)ドライエッチングまたはプラズマエッチングツールを使用することによって、下にある被膜またはワークピースにレジストパターンを転写するステップ;および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。いくつかの実施形態では、アッシャブルハードマスク層(例えば非晶質炭素層など)と、別の適切なハードマスク(例えば反射防止層など)とが、フォトレジストを塗布する前に堆積されることがある。
本明細書で述べる構成および/または手法は、性質上例示にすぎず、多くの変形が可能であるので、これらの特定の実施形態または例は、限定的な意味とみなすべきではないことを理解されたい。本明細書で述べる特定のルーチンまたは方法は、多くの処理ストラテジのうちの1つまたは複数を表すことがある。したがって、例示される様々な作用は、例示される順序で、または他の順序で、または並行して行うことができ、あるいはいくつかの場合には省略されることもある。同様に、上述したプロセスの順序を変えることもできる。
本開示の主題は、様々なプロセス、システム、および構成の新規の、さらにはまだ明らかでない全ての組合せおよび部分的組合せ、ならびに本明細書で開示される他の特徴、機能、作用、および/または特性、ならびにそれらのあらゆる均等形態を含む。
実験:
実験的試験は、薄いALDベースのアンダーコートが、はるかに厚いCVDベースのアンダーコートと同等の性能で金属汚染を最小限にすることができることを示している。さらに、ALDベースのアンダーコートは、CVDベースのアンダーコートよりもはるかに良く剥落に耐え、あるチャンバ洗浄操作から次のチャンバ洗浄操作までに反応チャンバ内でより多数の基板を処理できるようにし、それによりスループットを高める。ALDベースのアンダーコートは、CVDベースのアンダーコートよりも堆積に時間がかかるが、いくつかの実施形態では、ALDベースのアンダーコートのスループットに関連するいくつかの利点(例えば、ある洗浄操作から次の洗浄操作までの基板の数がより多いこと、チャンバの冷却を待機する必要がないこと、チャンバからCVD反応物を複数回フラッシュする必要がないこと、および/またはプレコーティング操作を行う必要がないこと)が、このより長いアンダーコート堆積時間を補って余りある。
図7は、様々な堆積プロセス後の基板の表面上での様々な金属の濃度に関する表を示す。各場合に、ALDベースの酸化ケイ素の層を、約250Åの厚さで基板上に堆積した。列Aに示される場合には、アンダーコートを有さない洗浄チャンバ内で基板上に被膜を堆積した。アンダーコートのないチャンバ内に堆積された被膜内で検出されるアルミニウムの濃度は、0.24×1010子/cm2とかなり高かった。銅および鉄も、検出可能な量で存在した。列Dに示される場合には、酸化物層を、約1μmの厚さを有するCVDベースの非ドープのケイ酸塩ガラスアンダーコートを有する基板上に堆積した。
図7の列BおよびCは、本明細書で述べるALDベースのアンダーコートを有する反応チャンバ内に堆積された被膜に関する。列Bでは、ALDベースのアンダーコートを約1000Åの厚さ(0.1μm、列Dに表されるCVDベースのアンダーコートの10分の1の薄さ)に堆積し、列Cでは、ALDベースのアンダーコートを約2000Åの厚さ(0.2μm、CVDベースのアンダーコートの5分の1の薄さ)に堆積した。どちらの被膜も、非常に少量の金属汚染を示した。どちらの被膜も、検出可能な量のアルミニウムまたは鉄を示さなかった。銅の濃度は、列Aのアンダーコートなしの場合に比べて減少され、列DのCVDベースのアンダーコートの場合とほぼ同程度に低かった。列Cの2000Åの厚さのALDベースのアンダーコートは、列Bの1000Åの厚さのALDベースのアンダーコートよりもいくぶん低い金属汚染を示した。
図7の結果は、金属汚染の防止に関して、薄いALDベースのアンダーコートが、はるかに厚いCVDベースのアンダーコートと同等に作用することができることを示唆する。
図8は、2000Åの厚さのALDベースの酸化ケイ素アンダーコートを有する反応チャンバ内、および2μmの厚さのCVDベースのUSGアンダーコートを有する反応チャンバ内で堆積された被膜上で検出された様々なサイズの粒子の数を示す表である。各場合に、基板上に堆積された被膜は、250Åの厚さの酸化ケイ素被膜であった。ALDベースのアンダーコートは、以下の条件下で堆積した:チャンバ圧力1.8Torr、約1〜2mL/分の間で流れるBTBASの0.2秒の投与、次いで0.3秒のパージ、次いで約500〜2500W/ステーションの間のRF出力での0.25秒のプラズマ印加、およびその一方でのそれぞれ約10SLMでの一緒に流れるO2およびN2Oの投与、次いで約0.15秒間のRF後のパージ。比較的大きい粒子(例えば、約0.12μmよりも大きい粒子)を考慮すると、どちらの被膜も、非常に良い(すなわち低い)粒子生成レベルを示した。比較的小さい粒子(例えば、約0.05μmよりも大きい粒子)を考慮すると、CVDベースのアンダーコートのある状態で堆積された被膜は、いくぶん良い粒子性能を示した。ALDベースの被膜は、小さい粒子サイズでより高い粒子生成を示したが、結果は依然として許容範囲内のレベルであった。
図9は、図8と同様の表であるが、2つの異なるALDベースの方法に従って堆積されたアンダーコートを有する反応チャンバ内に堆積された被膜を比較する。各場合に、基板上の被膜は、約50℃で、約250Åの厚さに堆積された酸化ケイ素であった。各場合におけるアンダーコートは、約2000Åの厚さに堆積した。プロセスAでは、アンダーコートを約200W/ステーションのRF出力レベルで堆積し、プロセスBでは、アンダーコートを約125W/ステーションのRF出力レベルで堆積した。
図9に示される被膜はどちらも良好な粒子性能を示す。約0.05μmよりも大きい粒子サイズで、粒子性能は、どちらの場合にも優れたものであり、図8に示されるCVDベースのアンダーコートを有するチャンバ内に堆積された被膜よりも低い粒子生成レベルを示した。これらの結果は、薄いALDベースのアンダーコートが、より厚いCVDベースのアンダーコートと同等またはそれよりも良い粒子生成に対する耐性をもたらすことができることを示唆する。
図10Aおよび図10Bは、それぞれ図9のプロセスAおよびプロセスBに関して述べた被膜に関する粒子マップを示す。粒子マップは、基板表面上で検出される粒子の位置を示す。0.04μmよりも大きい粒子のみが示される。
図11は、ALDベースのアンダーコートを有する反応チャンバ内に堆積された被膜に関するウェハ毎の再現性/均一性を示す表である。この場合には、酸化ケイ素アンダーコートを、約2000Åの厚さに堆積した。被膜は、約50℃の温度で、約260Åの厚さに基板上に堆積した。合計25個の基板を処理して試験した。ウェハ番号は、基板を処理した順序を表す。例えば、ウェハ番号1は、アンダーコートの堆積後に処理した最初の基板であり、ウェハ番号25は、処理した最後の基板であった。報告された厚さは、堆積された特定の被膜の厚さに対応する。範囲は、被膜の最も厚い部分と被膜の最も薄い部分との差に対応する。%NU(1−σ)およびRange%(ハーフレンジ)は、異なる標準的な方法によって計算したときの被膜内の不均一性の度合いに関する。全体として、被膜は、0.16%のウェハ毎の不均一性(ハーフレンジ)を示した。図11での結果は、ALDベースのアンダーコートの使用が、優れたウェハ毎の再現性をもたらすことができることを示す。
図12は、2μmの厚さのCVDベースのアンダーコートの性能と2000Å(0.2μmの厚さ)のALDベースのアンダーコートの性能とを比較する表である。CVDベースのアンダーコートに関するわずか3.7μmのチャンバ合計累積限度に対して、ALDベースのアンダーコートは、5μmのチャンバ合計累積限度を実現することが可能であった。すなわち、被膜剥落が現れる前に、CVDベースのアンダーコート上よりもALDベースのアンダーコート上にかなり多くの被膜が堆積された。累積限度は、ALDベースのアンダーコートの場合に約35%だけ高いが、CVDベースのアンダーコートの場合に累積限度に寄与する大半の累積は、厚いCVDベースのアンダーコートの形成中に堆積される。すなわち、累積限度は約35%だけ増加するが、連続する洗浄サイクル間で処理することができる基板の数は、200%を超えて増加する。ALDベースのアンダーコートは、約770枚の基板を処理することが可能であり、CVDベースのアンダーコートは、約250枚の基板を処理することしか可能でなかった。上述したN2Oプラズマ加熱効果により、高価な基板上に堆積するためにチャンバが使用される前に、CVDベースのアンダーコートを有するチャンバ内で20枚のダミーウェハが処理された。このダミーウェハ堆積は、反応チャンバの温度を望まれる安定な値に下げる一助となるように行われた。全体として、ALDベースのアンダーコートは、CVDベースのアンダーコートに比べて高いスループットをもたらした。
いくつかの実施形態では、アンダーコートは、アンダーコートが堆積された後に、基板上への被膜の堆積中に使用されるものとは異なるプラズマ特性(例えば、RF出力、RF時間、および/またはRF周波数)で形成することができる。例えば、より低レベルのRFフラックスを使用して、より高いウェットエッチングレートを有する被膜(例えば、基板上のアンダーコートおよび/または被膜)を堆積することができる。図13は、低RFおよび高RFの場合に関する堆積温度に対する、100:1の水:HFを用いた熱酸化物へのウェットエッチングレート比(WERR)を示すグラフである。図13に示されるように、より低いRFフラックスで堆積される被膜は、より高いウェットエッチングレートを示した。より高いウェットエッチングレートが望まれることがある1つの理由は、それが、被膜内でのより低い圧縮性の、より中立の応力に対応することである。図14は、熱酸化物に対するウェットエッチングレート比と、被膜応力との関係を示すグラフを示す。図示されるように、より高いウェットエッチングレートを有するALDベースのSiO2被膜は、実質的に中立の応力を有し、より低いウェットエッチングレートを有するALDベースのSiO2被膜は、より高い圧縮応力を有する。RFフラックスは、より低いRF出力および/またはより短いRF時間を使用することによって減少させることができる。さらに、いくつかの実施形態では、より高いレベルのRFフラックスを使用して、より低いウェットエッチングレートおよびより高い圧縮応力レベルを有する被膜(例えば基板上のアンダーコートおよび/または被膜)を堆積することができ、これは、いくつかの用途で望ましいことがある。
いくつかの実施形態では、反応チャンバ上のアンダーコートは、反応チャンバ内の基板上への被膜の堆積中に使用されるものよりも低いRFフラックス(RF出力および/またはRF時間)で堆積されることがある。他の実装形態では、反応チャンバ上のアンダーコートは、反応チャンバ内の基板上への被膜の堆積中に使用されるものよりも高いRFフラックス(RF出力および/またはRF時間)で堆積されることがある。
同様に、堆積されるときに、アンダーコートのウェットエッチングレートおよび応力を徐々に変えるために、アンダーコートの堆積中にRFフラックスを変えることができる。例えば、RFフラックスは、ウェットエッチングレートを徐々に高め、アンダーコートの応力を徐々に減少させるために、アンダーコートの堆積中に減少させることができる。この徐々に進む応力減少は、被膜剥落および剥離を防止する一助となることがあり、それにより、チャンバが、ある洗浄操作から次の洗浄操作までにより高いチャンバ累積限度に達することを可能にする。
さらに、堆積温度も、アンダーコートの堆積と基板上への被膜の堆積との間、またはアンダーコートの堆積中に変えることができる。図13に戻ると、より低い堆積温度は、より高いウェットエッチングレート比、およびそれに対応してより中立の被膜をもたらす。逆に、より高い堆積温度は、より低いウェットエッチングレート比、およびより多くの圧縮被膜をもたらす。いくつかの実施形態では、アンダーコートは、チャンバ内の基板上に堆積される被膜よりも高い温度でチャンバ内に堆積される。他の実施形態では、アンダーコートは、チャンバ内の基板上に堆積される被膜よりも低い温度で堆積することができる。さらに他の実施形態では、アンダーコートは、反応チャンバ内の基板上に堆積される被膜と同じ温度で堆積される。また、上述したように、アンダーコートの堆積中に温度を変えることができる。例えば、アンダーコートの堆積中に温度が低下することがあり、徐々により中立になるアンダーコートを形成する。逆に、アンダーコートの堆積中に温度が上昇することもあり、徐々により圧縮性になるアンダーコートを形成する。これらの徐々に進む変化は、剥落および剥離を防止する一助となることがあり、また、洗浄プロセス間でより高いチャンバ累積限度にチャンバが達する一助となることがある。

Claims (22)

  1. 基板を処理するための反応チャンバの内面にアンダーコートを形成する方法であって、
    (a)前記反応チャンバ内に、蒸気相での第1の反応物の流れを導入し、前記反応チャンバの前記内面に前記第1の反応物を吸着させるステップと、
    (b)前記第1の反応物が前記反応チャンバの前記内面に吸着された状態で、前記反応チャンバ内に、蒸気相での第2の反応物の流れを導入するステップと、
    (c)前記反応チャンバの前記内面で前記第1の反応物と前記第2の反応物の反応を誘発して前記アンダーコートを形成するために、前記第1の反応物と前記第2の反応物の少なくとも一方の流れが止まったときに、前記反応チャンバをプラズマに露出するステップであって、前記アンダーコートが、前記反応チャンバの前記内面を共形にコーティングするステップとを含み、
    操作(a)〜(c)が、前記反応チャンバ内に基板がないときに行われ、
    操作(a)〜(c)が、前記アンダーコートが少なくとも約0.1μmの厚さになるまで繰り返される方法。
  2. 前記反応チャンバ内の温度変化が、操作(a)〜(c)中において約2℃以下である請求項1に記載の方法。
  3. 前記アンダーコートが酸化物、窒化物、炭化物、または炭窒化物である請求項1または請求項2に記載の方法。
  4. 前記第2の反応物が、O2およびN2Oを含む請求項1から請求項3のいずれか一項に記載の方法。
  5. 前記アンダーコートが、貴金属、ランタニド酸化物、4族金属酸化物、または5族金属酸化物である請求項1から請求項4のいずれか一項に記載の方法。
  6. 前記アンダーコートが、基板キャリアを共形にコーティングする請求項1から請求項5のいずれか一項に記載の方法。
  7. 前記アンダーコートが、約0.5μm以下の厚さである請求項1から請求項6のいずれか一項に記載の方法。
  8. 前記アンダーコートが、約0.2μm以下の厚さである請求項6に記載の方法。
  9. 請求項1から請求項8のいずれか一項に記載の記載の方法であって、さらに、
    (d)前記反応チャンバ内に基板を受け取るステップと、
    (e)前記反応チャンバ内に、蒸気相での第3の反応物の流れを導入し、前記第3の反応物を前記基板の前記表面に吸着させるステップと、
    (f)前記第3の反応物が前記基板の前記表面に吸着された状態で、前記反応チャンバ内に、蒸気相での第4の反応物の流れを導入するステップと、
    (g)前記基板の前記表面で前記第3の反応物と前記第4の反応物の反応を誘発して第2の被膜を形成するために、前記第3の反応物と前記第4の反応物の少なくとも一方の流れが止まったときに、前記反応チャンバをプラズマに露出するステップと
    を含む方法。
  10. 前記第1の反応物および前記第2の反応物が、それぞれ前記第3の反応物および前記第4の反応物と同じである請求項9に記載の方法。
  11. 前記第2の反応物と前記第4の反応物が、それぞれO2およびN2Oを含む請求項10に記載の方法。
  12. 反応チャンバ圧力、反応チャンバ温度、投与時間、プラズマ露出時間、およびRF出力値が、操作(a)〜(c)と操作(e)〜(g)との間で実質的に一定である請求項9から請求項11のいずれか一項に記載の方法。
  13. 前記反応チャンバ内の温度変化が、操作(a)〜(g)中において、約2℃以下である請求項9から請求項12のいずれか一項に記載の方法。
  14. 操作(e)が、操作(c)の最後の反復後、約5分以内に始まる請求項9から請求項13のいずれか一項に記載の方法。
  15. 前記反応チャンバが、操作(c)の最後の反復と操作(e)の最初の反復との間にパージされる請求項9から請求項14のいずれか一項に記載の方法。
  16. 操作(d)〜(g)が複数の基板で繰り返され、操作(a)〜(g)で前記反応チャンバの前記内面に堆積された被膜の剥落または剥離開始は、前記第2の被膜が前記基板上に合計で少なくとも約7.5μm堆積されるまでは生じない請求項9から請求項15のいずれか一項に記載の方法。
  17. 操作(d)〜(g)が複数の基板で繰り返され、操作(a)〜(g)で堆積された被膜の剥落または剥離開始は、操作(d)〜(g)を使用して前記反応チャンバによって少なくとも約300枚の基板が処理されるまでは生じない請求項9から請求項16のいずれか一項に記載の方法。
  18. 操作(a)〜(c)の最初の反復が、第1のRFフラックスレベルで行われ、操作(a)〜(c)の第2の反復が、第2のRFフラックスレベルで行われ、前記第1のRFフラックスレベルと前記第2のRFフラックスレベルが異なる請求項1から請求項17のいずれか一項に記載の方法。
  19. 基板上に被膜を堆積するために反応チャンバを処理する方法であって、
    (a)前記反応チャンバを洗浄するために、前記反応チャンバの内面から、前に堆積された被膜を除去するステップと、
    (b)原子層堆積プロセスによって、前記反応チャンバの前記洗浄された内面上にアンダーコートを堆積するステップとを含み、
    操作(b)が、前記反応チャンバ内に基板がないときに行われる方法。
  20. 前記アンダーコートが、約0.1〜0.5μmの間の厚さに堆積される請求項19に記載の方法。
  21. 操作(b)が、等温で行われる請求項19または請求項20に記載の方法。
  22. 基板上に被膜を堆積するために準備された反応チャンバであって、
    前記反応チャンバに蒸気相の反応物を導入するための1つまたは複数の入口と、
    前記反応チャンバから蒸気相の材料を除去するための1つまたは複数の出口と、
    前記反応チャンバに露出されるプラズマを発生させるためのプラズマ発生器と、
    前記反応チャンバの前記内面上のアンダーコートとを備え、前記アンダーコートが、約0.5μm以下の厚さであり、前記アンダーコートが、前記反応チャンバの内面を共形に被覆する反応チャンバ。
JP2014233410A 2013-11-25 2014-11-18 低温ald被膜のためのチャンバアンダーコート準備方法 Pending JP2015122486A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/089,653 2013-11-25
US14/089,653 US9745658B2 (en) 2013-11-25 2013-11-25 Chamber undercoat preparation method for low temperature ALD films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020185592A Division JP2021022752A (ja) 2013-11-25 2020-11-06 低温ald被膜のためのチャンバアンダーコート準備方法

Publications (2)

Publication Number Publication Date
JP2015122486A true JP2015122486A (ja) 2015-07-02
JP2015122486A5 JP2015122486A5 (ja) 2017-12-28

Family

ID=53182883

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014233410A Pending JP2015122486A (ja) 2013-11-25 2014-11-18 低温ald被膜のためのチャンバアンダーコート準備方法
JP2020185592A Pending JP2021022752A (ja) 2013-11-25 2020-11-06 低温ald被膜のためのチャンバアンダーコート準備方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020185592A Pending JP2021022752A (ja) 2013-11-25 2020-11-06 低温ald被膜のためのチャンバアンダーコート準備方法

Country Status (5)

Country Link
US (2) US9745658B2 (ja)
JP (2) JP2015122486A (ja)
KR (2) KR20150060583A (ja)
CN (1) CN104651807B (ja)
TW (1) TWI644359B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019530224A (ja) * 2016-09-09 2019-10-17 アイクストロン、エスイー Cvdリアクタおよびcvdリアクタの洗浄方法
JP2021507513A (ja) * 2017-12-15 2021-02-22 ラム リサーチ コーポレーションLam Research Corporation 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
JP2021526585A (ja) * 2018-06-01 2021-10-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング
JP2022547127A (ja) * 2019-09-09 2022-11-10 アプライド マテリアルズ インコーポレイテッド 処理チャンバ表面または部品上に保護コーティングを形成するための方法
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
CN106062245B (zh) * 2014-03-03 2020-04-07 皮考逊公司 用ald涂层保护气体容器的内部
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9677171B2 (en) * 2014-06-06 2017-06-13 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in a non-mass-analyzed ion implantation system
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6144300B2 (ja) * 2015-07-16 2017-06-07 東京エレクトロン株式会社 グラフェン製造方法、グラフェン製造装置及びグラフェン製造システム
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9558939B1 (en) * 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
KR102564481B1 (ko) * 2016-10-25 2023-08-04 코낙스 테크놀로지스 내침식성/내부식성 배리어 코팅
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR102117945B1 (ko) * 2017-02-09 2020-06-02 주식회사 메카로에너지 화학기상증착법을 이용한 반사방지막 제조방법
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN107611000B (zh) * 2017-09-21 2018-07-13 北京大学 一种等离子体激励的非高温扩散掺杂装置及方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108385088A (zh) * 2018-04-16 2018-08-10 扬州大学 一种TiSiO复合光波导薄膜的制备方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR20210016476A (ko) * 2018-06-29 2021-02-15 램 리써치 코포레이션 원자 층 증착 프로세스에서 산화 변환
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US20210340670A1 (en) * 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN109904473B (zh) * 2019-02-26 2021-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备及其制备电池催化剂的方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129627B (en) * 2019-06-28 2022-05-31 Beneq Oy Nuclear layer cultivation equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US20220216060A1 (en) * 2019-10-08 2022-07-07 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN111172511A (zh) * 2020-01-17 2020-05-19 胜科纳米(苏州)有限公司 一种在有机材料表面制备金属膜层的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7122335B2 (ja) * 2020-03-30 2022-08-19 Ckd株式会社 パルスショット式流量調整装置、パルスショット式流量調整方法、及び、プログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
JP2022098040A (ja) * 2020-12-21 2022-07-01 東京エレクトロン株式会社 基板処理方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117153672B (zh) * 2023-11-01 2024-01-26 粤芯半导体技术股份有限公司 一种介电层及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009094340A (ja) * 2007-10-10 2009-04-30 Tokyo Electron Ltd 基板処理装置のメタル汚染低減方法
JP2009147373A (ja) * 2002-11-11 2009-07-02 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2011187934A (ja) * 2010-02-15 2011-09-22 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法
JP2012216696A (ja) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6327623B2 (en) * 1997-05-30 2001-12-04 Texas Instruments Incorporated Computer system with environmental detection
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2006014753A1 (en) * 2004-07-23 2006-02-09 Sundew Technologies, Llp Capacitors with high energy storage density and low esr
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) * 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
WO2007026778A1 (ja) 2005-08-31 2007-03-08 Sumitomo Chemical Company, Limited トランジスタ、有機半導体素子及びこれらの製造方法
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US7968439B2 (en) 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
TW201210058A (en) * 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120097330A1 (en) 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009147373A (ja) * 2002-11-11 2009-07-02 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2009094340A (ja) * 2007-10-10 2009-04-30 Tokyo Electron Ltd 基板処理装置のメタル汚染低減方法
JP2011187934A (ja) * 2010-02-15 2011-09-22 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法
JP2012216696A (ja) * 2011-04-01 2012-11-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP2019530224A (ja) * 2016-09-09 2019-10-17 アイクストロン、エスイー Cvdリアクタおよびcvdリアクタの洗浄方法
JP7402041B2 (ja) 2016-09-09 2023-12-20 アイクストロン、エスイー Cvdリアクタおよびcvdリアクタの洗浄方法
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
JP2021507513A (ja) * 2017-12-15 2021-02-22 ラム リサーチ コーポレーションLam Research Corporation 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
JP7325417B2 (ja) 2017-12-15 2023-08-14 ラム リサーチ コーポレーション 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
JP2021526585A (ja) * 2018-06-01 2021-10-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング
JP7391047B2 (ja) 2018-06-01 2023-12-04 アプライド マテリアルズ インコーポレイテッド 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング
JP2022547127A (ja) * 2019-09-09 2022-11-10 アプライド マテリアルズ インコーポレイテッド 処理チャンバ表面または部品上に保護コーティングを形成するための方法
JP7453352B2 (ja) 2019-09-09 2024-03-19 アプライド マテリアルズ インコーポレイテッド 処理チャンバ表面または部品上に保護コーティングを形成するための方法
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components

Also Published As

Publication number Publication date
US20170314128A1 (en) 2017-11-02
CN104651807A (zh) 2015-05-27
US9745658B2 (en) 2017-08-29
KR20150060583A (ko) 2015-06-03
JP2021022752A (ja) 2021-02-18
KR20230039625A (ko) 2023-03-21
TWI644359B (zh) 2018-12-11
US20150147482A1 (en) 2015-05-28
TW201526105A (zh) 2015-07-01
CN104651807B (zh) 2017-11-28

Similar Documents

Publication Publication Date Title
JP2021022752A (ja) 低温ald被膜のためのチャンバアンダーコート準備方法
CN111247269B (zh) 介电膜的几何选择性沉积
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
KR20230145004A (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
JP2018174327A (ja) 無塩素の共形SiN膜を蒸着させるための方法
JP2015144268A (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
JP2014146786A (ja) 感受性基材上にフィルムを蒸着するための方法
JP2023519849A (ja) シーム軽減、およびギャップ充填用一体化ライナー
US20230002887A1 (en) In-situ pecvd cap layer
CN116137931A (zh) 减少半导体设备中的层内电容
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
TW202409322A (zh) 橫向間隙填充

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171117

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200515

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200707