KR20210016476A - 원자 층 증착 프로세스에서 산화 변환 - Google Patents

원자 층 증착 프로세스에서 산화 변환 Download PDF

Info

Publication number
KR20210016476A
KR20210016476A KR1020217003022A KR20217003022A KR20210016476A KR 20210016476 A KR20210016476 A KR 20210016476A KR 1020217003022 A KR1020217003022 A KR 1020217003022A KR 20217003022 A KR20217003022 A KR 20217003022A KR 20210016476 A KR20210016476 A KR 20210016476A
Authority
KR
South Korea
Prior art keywords
reaction chamber
substrate
reactant gas
plasma
reactant
Prior art date
Application number
KR1020217003022A
Other languages
English (en)
Inventor
더글라스 월터 애그뉴
조셉 알. 아벨
바트 잰 반 슈라벤디즈크
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210016476A publication Critical patent/KR20210016476A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 프로세싱하기 위한 방법이 기술된다. 증기상의 제 1 반응 물질이 내부에 기판을 갖는 반응 챔버 내로 도입된다. 제 1 반응 물질은 기판 표면 상에 흡착되게 된다. 제 1 반응 물질의 비반응성 부분은 제 1 반응 물질의 플로우가 중단된 후 반응 챔버로부터 퍼지된다. 제 2 반응 물질은 제 1 반응 물질이 기판 표면 상에 흡착되는 동안 반응 챔버 내로 증기상으로 도입된다. 제 2 반응 물질은 1:1:1 비의 이수소 (dihydrogen)(H2), 질소-함유 반응 물질, 및 산소-함유 반응 물질을 포함한다. 플라즈마가 제 2 반응 물질에 기초하여 점화된다. 기판 표면은 플라즈마에 노출된다. 플라즈마가 소화된다. 반응 챔버로부터 가스가 퍼지된다.

Description

원자 층 증착 프로세스에서 산화 변환
관련 출원
본 출원은 2018 년 6 월 29 일에 출원된 미국 특허 가출원 번호 제 62/692,015 호의 우선권을 주장하고, 이는 전체가 본 명세서에 참조로서 인용된다.
본 개시는 일반적으로 원자 층 증착 프로세스들에서 불순물들을 감소시키기 위한 방법, 구체적으로 원자 층 증착 프로세스들에서 개질된 산화 변환에 관한 것이다.
실리콘-함유 막들은 다양한 물리적, 화학적, 및 기계적 특성들을 갖고 종종 반도체 제조 프로세스들에 사용된다. 예를 들어, 실리콘 나이트 라이드 막들은 확산 배리어들, 게이트 절연체들, 측벽 스페이서들, 및 캡슐화 층들로서 사용될 수도 있고, 실리콘 옥사이드는 유전체 절연체로서 사용될 수도 있다. 다양한 적용 예들에서, 실리콘-함유 막들은 CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 에 의해 증착된다. 그러나, 실리콘-함유 막들의 일부 증착은 컨포멀하지 않을 수도 있다. 디바이스 치수들이 계속해서 축소됨에 따라, 고 종횡비 토폴로지에 걸쳐 실리콘 함유 막들에 대한 증착 프로파일을 튜닝하기 위한 수요가 증가하고 있다.
일부 실시 예들은 첨부된 도면들의 도면들에 제한이 아니라 예로서 예시된다.
도 1은 예시적인 실시 예에 따른, 기판을 프로세싱하기 위한 방법의 흐름도이다.
도 2는 또 다른 예시적인 실시 예에 따른, 기판을 프로세싱하기 위한 방법의 흐름도이다.
도 3은 예시적인 실시 예에 따른, 기판을 프로세싱하기 위한 시스템을 예시하는 블록도이다.
도 4는 예시적인 실시 예에 따른, 완전한 반응 및 불완전한 반응을 예시하는 도면이다.
도 5는 일 예시적인 실시 예에 따른, 막 내의 불순물 함량에 대한 변환 가스들 내의 H2 가스의 존재 효과를 도시하는 FTIP 스펙트럼이다.
도 6은 일 예시적인 실시 예에 따른, 막 내의 주 Si-O 피크들에 대한 변환 가스들 내의 H2 가스의 존재 효과를 도시하는 FTIR 스펙트럼이다.
이어지는 기술 (description) 은 본 발명의 주제의 예시적인 실시 예들을 구현하는 시스템들, 방법들, 기법들, 인스트럭션 시퀀스들, 및 컴퓨팅 머신 프로그램 제품들을 포함한다. 이하의 기술에서, 설명의 목적들을 위해, 예시적인 실시 예들의 완전한 이해를 제공하기 위해 다수의 특정한 상세들이 제시된다. 그러나, 본 실시 예들이 이들 구체적인 상세들 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다.
이 특허 문서의 공개의 일부는 저작권 보호를 받는 자료를 포함한다. 저작권자는 특허청 특허 서류들 또는 기록들에 나타난 바와 같이, 특허 문서 또는 특허 공개의 누군가에 의한 팩스 복제에 대해 이의를 제기하지 않지만, 달리 모든 저작권 권리를 보유한다. 다음 고지는 아래에 기술된 모든 데이터 및 이 문서의 일부를 형성하는 도면들에 적용된다. 저작권 LAM Research Corporation, 2018, 판권 소유.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위의 집적 회로 제조의 임의의 많은 스테이지들 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 용어 "챔버" 및 "반응기"는 또한 상호 교환 가능하게 사용된다.
웨이퍼는 절연체가 증착되어야 하는 트렌치들, 콘택트들 및 비아들과 같은 피처들을 포함한다. 피처들이 치수가 축소됨에 따라 (예를 들어, 50 ㎚ 이하의 스케일), 또는 종횡비가 상승함 (> 5:1) 에 따라, 피처들 내로 고품질 절연 재료들을 증착하는 것이 보다 어려워진다. 이들 문제들을 해결하기 위한 일부 방법들은 CVD (chemical vapor deposition), ALD (atomic layer deposition), 및 PEALD (plasma enhanced atomic layer deposition) 를 수반한다.
PEALD 및 ALD는 기판이 다양한 화학 물질들에 연속적으로 노출되는 순환적 증착 프로세스들이다. 기판은 통상적으로 흡수된 층을 형성하기 위해 제 1 화학 물질 또는 화학 물질들의 조합에 노출된다. 제 1 화학 물질 또는 화학 물질들의 과잉은 펌핑 또는 퍼지에 의해 제거된다. 제 2 화학 물질 또는 화학 물질들의 조합이 증착된 재료 층을 형성하도록 제 1 재료와 반응하도록 도입된다. 2 개의 화학 물질들 또는 화학 물질들의 조합들은 증착된 재료 층을 형성하기 위해 서로 반응하도록 특이적으로 선택된다.
ALD 프로세스 동안, 통상적으로 상승된 증착 온도에서 반응하는 2 세트의 화학 물질들의 경향이 막의 형성을 구동하도록 사용된다. PEALD 프로세스 동안, 플라즈마 에너지는 2 세트의 화학 물질들 사이의 반응을 향상시키거나 다른 바람직한 막 특성들을 제공하도록 사용된다. 이와 같이, PEALD는 열적으로 불안정한 재료들에 대한 증착을 인에이블할 수 있는, 보다 낮은 프로세스 온도의 장점을 갖는다. 이는 또한 장비 비용을 낮추고 프로세스 처리량을 증가시킬 수 있다. 그러나, PEALD 프로세스는 불량한 막 품질을 발생시킬 수도 있다.
SiO2의 증착을 위한 현재 PEALD 프로세스들은 보통 Ar/O2 또는 N2O/O2 플라즈마, 또는 이들의 일부 조합의 사용을 수반한다. 이들 플라즈마들은 PEALD SiO2 사이클의 제 2 메인 단계, 즉, 실리콘 함유 전구체와의 추가 반응을 위한 하이드록실 종단된 표면의 생성에 영향을 주도록 사용된다. Ar/O2 플라즈마들은 (향상된 플라즈마 밀도 및 이온 충격과 같은 Ar 함유 플라즈마들의 이점들로 인해) 저온에서 고품질 막들을 생성하는데 효과적이다. 그 결과, 이 프로세스 조건은 통상적으로 패터닝 적용 예들에 사용된다.
그러나, Ar 함유 플라즈마들의 주요 단점은 RF 전력에 대해 제한된 동작 윈도우이다. 특정한 와트 수 이상에서, 챔버 내에서 플라스모이드들 및 전기적 아크가 발생하는 것으로 공지되어, 이 프로세스의 적용을 심각하게 감소시킨다. 반대로, N2O/O2 가스들로 구성된 플라즈마들은 보다 강건한 것으로 공지되어, 보다 높은 전력 플라즈마들을 허용한다. 그러나, 이 증가된 프로세스 윈도우와 함께, 감소된 산화 및 불순물 제거 효율이 있다.
대안적인 플라즈마 화학 물질들은 부가적인 단점들과 과제들을 제공한다. 예를 들어, 플라즈마는 특정한 가스들 (예를 들어, O2) 에 대해 신속하게 점화하기 어려울 수도 있다. 일부 가스 컴포넌트는 비싸거나 획득하기 어려울 수도 있다 (예를 들어, O2/He, O2/Ne). 다른 화학 물질들은 물리적 특성 또는 화학적 불안정성 또는 반응성 (예를 들어, H2O, O3, H2O2) 으로 인해 전달에 어려움을 제공하고, 이에 따라 장비 비용을 실질적으로 상승시킨다.
상기 과제들을 해결하기 위해, 본 개시는 개질된 산화에 기초한 대안적인 ALD (atomic layer deposition) 프로세스를 기술한다. 이 개질된 산화 프로세스는 플라즈마에 이수소 (dihydrogen) (H2) 가스를 도입하는 것을 포함한다. 표면 하이드로실란기들은 기판의 SiO2 원자 층 증착시 대응하는 하이드로실록산 종단된 표면으로 변환된다. N2O/O2 플라즈마들에 수소 가스의 첨가는 기존의 400 ℃ 막들과 비교하여 발생되는 전기적 막 특성들을 상당히 개선한다. 필요한 동작 온도의 이 200 ℃ 강하는 상당한 비용 절감을 초래할 수 있다.
이에 더하여, N2O 플라즈마에 대한 H2의 첨가는 보다 높은 밀도/보다 높은 품질 막들을 발생시킨다. O2 플라즈마에 H2의 첨가는 환원성 금속 옥사이드들을 갖는다면 아래에 놓인 금속들에 대한 산화를 감소시키는 장점을 갖는다. 금속 산화물이 이미 존재한다면, 또한 H2의 첨가에 의해 환원될 수 있다.
증착/에칭/증착 프로세스에서 또는 PEALD 프로세스의 일부로서 화학 물질 억제를 사용할 때 H2/O2를 사용하는 것의 다른 이점들은 막에서 F 및 N을 실질적으로 제거하는 것을 포함한다. H가 막 내로 깊이 침투하기 때문에, 화학 물질이 그 목적을 달성한 후에도 여전히 묻힌 잔류 화학 물질을 제거하는 동안 억제를 유지할 수 있다.
본 개시의 일부 예시적인 실시 예들에서, 1:1 N2O/O2 혼합물로 구성된, 플라즈마 가스 조성물에 이수소 (H2) 가 첨가된다. 1:1:1 혼합물 (H2:N2O:O2) 은 유기 막 불순물들로부터 발생하는 IR 밴드들의 제거를 발생시킨다. 부가적으로, 이들 조건들 하에서 항복 필드 및 누설 전류 특성들 모두 기준과 비교하여 개선된다.
도 1은 예시적인 실시 예에 따른, 기판을 프로세싱하기 위한 방법의 흐름도이다. 방법 (100) 은 리세스된 피처들을 갖는 기판이 챔버 내로 제공되는 동작 102에서 시작된다. 동작 104에서, 제 1 전구체 (또한 반응 물질로 지칭됨) 의 도즈가 챔버 내로 흐른다. 제 1 전구체는 리세스된 피처들을 포함하여, 기판의 표면 상에 흡착된다. 증착될 막이 실리콘을 포함하는 경우, 제 1 전구체는 통상적으로 실리콘-함유 반응 물질이다. 증착될 막이 금속을 포함하는 경우, 제 1 전구체는 일반적으로 금속-함유 반응 물질이다. 다음에, 반응 챔버는 동작 106에서 퍼지된다. 일부 실시 예들에서, 퍼지는 비반응성 가스로 반응 챔버를 스윕핑하는 것을 포함한다. 대안적으로 또는 부가적으로, 퍼지는 펌프 다운을 수행함으로써 반응 챔버를 배기하는 것을 포함할 수도 있다. 이 경우, 반응 챔버 내 압력은 반응 물질 전달 동안보다 펌프 다운 동안 상당히 낮다. 이 퍼지 동작 106의 목적은 반응 챔버로부터 모든 또는 실질적으로 모든 제 1 전구체를 제거하는 것이다. 일부 실시 예들에서, 퍼지/스윕핑은 덜 완전할 수도 있고, 제 1 전구체의 일부만이 반응 챔버로부터 제거된다. 예를 들어, 도 4는 완전하고 불완전한 반응들을 예시한다. 완전한 반응을 위해, 실란 (402) 은 분자 구조 (404) 를 생성하도록 전구체와 반응한다. 그러나, 불완전한 반응은 기판에 대한 표면 상에 아미노실란을 갖는 분자 구조 (406) 를 발생시킨다. 후속 산화는 부분적으로만 변환할 수도 있다. 이 부분적인 변환은 막 내로 C, N, H 혼입과 함께 아민, 아미드, 카르복시, 에스테르 및 알코올 작용기들을 발생시킨다.
다시 도 1을 참조하면, 동작 108에서, 제 2 반응 물질이 반응 챔버 내로 흐른다. 제 2 반응 물질은 종종 이수소 (H2), 질소-함유 반응 물질, 및/또는 산소-함유 반응 물질이다. 제 1 반응 물질 및 제 2 반응 물질 각각은 또한 반응 물질들의 혼합물일 수도 있다. 일 예에서, 제 2 반응 물질은 1:1:1 비의 이수소, 산소 및 아산화질소를 포함할 수도 있다. 2 이상의 반응 물질이 동시에 반응 챔버로 전달되는 경우, 반응 물질들은 전달 전에 (예를 들어, 별도의 혼합 용기에서), 또는 전달 후 (예를 들어, 반응 챔버 자체에서) 혼합될 수도 있다.
동작 110에서, 플라즈마가 반응 챔버 내에서 점화되고 기판 표면에 노출된다. 다양한 실시 예들에서, 동작 108 및 동작 110은 적어도 부분적으로, 동시에 발생한다. 일부 경우들에서, 제 2 반응 물질은 110에서 플라즈마 점화가 발생하기 전에 108에서 반응 챔버 내로 미리 흐를 수도 있다. 특정한 예에서, 제 2 반응 물질은 연속적으로 제공된다. 다른 실시 예들에서, 동작 108 및 동작 110은 동시에 시작된다. 대안적인 실시 예들에서, 제 2 반응 물질은 110에서 반응 챔버 내로 흐르고, 이어서 동작 110에서 펄싱된 플라즈마 점화가 발생하기 전에 반응 챔버로부터 스윕핑/퍼지된다.
다음에, 플라즈마는 소화되고 반응 챔버는 동작 112에서 퍼지된다. 동작 106에서 퍼지에 대해 언급된 바와 같이, 이는 반응 챔버를 스윕핑 및/또는 펌핑 다운하는 것을 포함할 수도 있다. 특정한 경우들에서, 이 스윕핑/퍼지는 선택 가능할 수도 있지만, 포스트-플라즈마 퍼지의 사용은 고품질 막의 형성을 촉진하는 것을 도울 수도 있다. 동작 104 내지 동작 112는 일반적으로 재료의 단층의 증착을 발생 시키지만, 특정한 실시 예들에서, 재료의 단층 미만이 증착될 수도 있다. 이들 단계들은 점선 화살표로 나타낸 바와 같이, 목표된 두께의 막을 성장시키기 위해 여러 번 반복될 수도 있다.
나타낸 바와 같이, 플라즈마 특성들은 증착된 막에 상당한 영향을 줄 수 있다. 많은 실시 예들에서, 플라즈마는 CCP (capacitively coupled plasma) 이다. 그러나, 다른 타입들의 플라즈마, 예를 들어 유도 결합 플라즈마들이 또한 사용될 수도 있다. RF 플라즈마 생성기, DC 플라즈마 생성기 및 마이크로파 플라즈마 생성기를 포함하여 다양한 타입들의 플라즈마 생성기가 사용될 수도 있다. 플라즈마는 직접 플라즈마 (즉, 반응 챔버 내에서 생성된 플라즈마), 또는 리모트로 생성된 플라즈마일 수도 있다.
도 2는 또 다른 예시적인 실시 예에 따른, 기판을 프로세싱하기 위한 방법의 흐름도이다. 동작 202 (도즈 프로세스) 에서, 실란 전구체가 반응기 내의 기판에 도입된다. 다른 반응기들 및 플라즈마 소스들이 사용될 때, 동작 조건들은 실질적으로 가변할 수도 있다는 것을 주의해야 한다. 다음은 4 스테이션 CCP 반응기에 대한 도즈 프로세스의 파라미터들의 범위의 예이다:
가스들: Ar (1 내지 30 slm), N2 (0 내지 30 slm), H2 (0 내지 5 slm), 실란 전구체,
압력: 0.6 내지 6 Torr,
온도: 150 내지 650 ℃
동작 204 (퍼지 프로세스) 에서, 부산물들 및 과잉 반응 물질들이 챔버로부터 퍼지된다. 다음은 퍼지 프로세스의 파라미터들의 범위의 예이다:
가스들: Ar (1 내지 30 slm), N2 (0 내지 30 slm), H2 (0 내지 5 slm), O2 (0.5 내지 15 slm), N2O (0.5 내지 5 slm), 압력: 0.6 내지 6 Torr,
온도: 150 내지 650 ℃
동작 206 (산화 프로세스) 에서, 기판의 표면은 산화되고 RF 플라즈마로 세정된다. 다음은 산화 프로세스의 파라미터들의 범위의 예이다:
가스들: Ar (1 내지 30 slm), N2 (0 내지 30 slm), H2 (0 내지 5 slm), O2 (0.5 내지 15 slm), N2O (0.5 내지 5 slm),
RF 전력: 500 W 내지 5000 W,
압력: 0.6 내지 6 Torr,
온도: 150 내지 650 ℃
동작 208 (퍼지 프로세스) 에서, 잔류 산화제들이 챔버로부터 퍼지된다. 다음은 퍼지 프로세스의 파라미터들의 예이다:
가스들: Ar (1 내지 30 slm), N2 (0 내지 30 slm), H2 (0 내지 5 slm), O2 (0.5 내지 15 slm), N2O (0.5 내지 5 slm), 압력: 0.6 내지 6 Torr,
온도: 150 내지 650 ℃
도 3은 예시적인 실시 예에 따른, 기판을 프로세싱하기 위한 시스템을 예시하는 블록도이다. 개시된 방법들을 수행하기 위해 적합한 장치는 통상적으로 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시 예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 PEALD 프로세스 스테이션들을 포함할 수도 있다.
도시된 바와 같이, 반응기 (300) 는 반응기의 다른 컴포넌트들을 둘러싸고, 예를 들어 접지된 히터 블록 (320) 과 함께 동작하는 샤워헤드 (314) 를 포함하는 커패시터 타입 시스템에 의해 생성된 플라즈마를 담도록 기능하는 프로세스 챔버 (324) 를 포함한다. 매칭 네트워크 (306) 에 연결된 고주파수 RF 생성기 (304) 및 저주파수 RF 생성기 (302) 는 샤워헤드 (314) 에 연결된다. 이들 RF 생성기는 본 명세서에 기술된 바와 같이 플라즈마를 점화할 수 있다. 매칭 네트워크 (306) 에 의해 공급된 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기에 충분하다. 일 예시적인 실시 예에서, HFRF 생성기 및 LFRF 생성기 모두가 사용된다. 통상적인 프로세스에서, 고주파수 RF 컴포넌트는 일반적으로 약 2 내지 60 ㎒이고; 바람직한 실시 예에서, HF 컴포넌트는 약 13.56 ㎒ 또는 27 ㎒이다. 저주파수 LF 컴포넌트는 일반적으로 약 0.050 내지 2 ㎒이고; 특정한 실시 예에서, LF 컴포넌트는 약 350 ㎑이다.
반응기 내에서, 웨이퍼 페데스탈 (318) 은 기판 (316) 을 지지한다. 페데스탈은 통상적으로 증착 및/또는 플라즈마 처리 반응들 동안 그리고 증착 및/또는 플라즈마 처리 반응들 사이에 기판을 홀딩하고 이송하기 위해 척, 포크, 또는 리프트 핀들을 포함한다. 척은 정전 척, 기계적 척 또는 산업 및/또는 연구에서 사용하기 위해 이용 가능한 다양한 다른 타입들의 척일 수도 있다.
프로세스 가스들은 유입부 (312) 를 통해 도입된다. 복수의 소스 가스 라인들 (310) 은 매니폴드 (308) 에 연결된다. 가스들은 미리 혼합될 수도 있고 또는 혼합되지 않을 수도 있다. 프로세스의 증착 및 플라즈마 처리 단계들 동안 올바른 가스들이 전달되는 것을 보장하기 위해 적절한 밸브 및 질량 유량 제어 메커니즘들이 채용된다. 화학적 전구체(들)가 액체 형태로 전달되는 경우, 액체 흐름 제어 메커니즘들이 채용된다. 이어서 액체는 기화되고 증착 챔버에 도달하기 전에 기화 지점 이상으로 가열된 매니폴드 내에서의 이송 동안 다른 프로세스 가스들과 혼합된다.
프로세스 가스들은 유출부 (322) 를 통해 챔버 (300) 를 나간다. 진공 펌프 (326) (예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보 분자 펌프) 가 통상적으로 프로세스 가스들을 인출하고 쓰로틀 밸브 또는 진자 밸브와 같은 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
당업자는 반응기 (300) 의 다른 변형들이 현재 기술된 프로세스를 적용하도록 사용될 수 있다는 것을 인식할 것이다. 예를 들어, 반응기 (300) 는 샤워헤드 (314) 대신 전력 공급된 페데스탈을 포함할 수 있다.
도 5는 일 예시적인 실시 예에 따른, 막 내의 불순물 함량에 대한 변환 가스들 내의 H2 가스의 존재 효과를 도시하는 FTIR 스펙트럼이다.
도 6은 일 예시적인 실시 예에 따른, 막 내의 주 Si-O 피크들에 대한 변환 가스들 내의 H2 가스의 존재 효과를 도시하는 FTIR 스펙트럼이다.
도 5 및 도 6으로부터, 산화 단계에 H2의 부가가 아미노실란 화학 물질을 갖는 ALD SiO2 내 불순물들을 감소시킨다는 것을 알 수 있다; Si-O 밴드에 대한 영향이 거의 또는 전혀 관찰되지 않는다.
상기를 달성하는 전통적인 방법들은 주로 a) 챔버 온도 상승, b) RF 전력 상승, 및 c) 프로세스 화학 물질 변경으로 구성된다. 이들 세 가지 전략들은 모두 보다 높은 CoC에서 프로세스 불안정성에 이르는 상당한 단점들을 갖는다.
실시 예가 특정한 예시적인 실시 예들을 참조하여 기술되었지만, 본 개시의 보다 넓은 범위로부터 벗어나지 않고 다양한 수정들 및 변화들이 이들 실시 예들에 대해 이루어질 수도 있다는 것이 자명할 것이다. 따라서, 명세서 및 도면들은 제한적인 의미가 아니라 예시적인 것으로 간주되어야 한다. 본 명세서의 일부를 형성하는 첨부된 도면들은 주제가 실시될 수도 있는 특정한 실시 예들을 제한하는 것이 아니라 예시로서 도시한다. 예시된 실시 예들은 당업자가 본 명세서에 개시된 교시들을 실시할 수 있게 하도록 충분히 상세히 기술된다. 본 개시의 범위로부터 벗어나지 않고 구조적 및 논리적 대체들 및 변화들이 이루어질 수도 있도록, 다른 실시 예들이 활용되고 이로부터 유도될 수도 있다. 그러므로, 이 상세한 설명은 제한적인 의미로 여겨지지 않고, 다양한 실시 예들의 범위는 이러한 청구항들이 자격을 부여한 등가물들의 전체 범위와 함께 첨부된 청구항들에 의해서만 규정된다.
본 발명의 주제의 이러한 실시 예들은 단지 편의를 위해 용어 "발명"에 의해 본 명세서에서 개별적으로 그리고/또는 집합적으로 참조될 수도 있고, 본 출원의 범위를 임의의 단일 발명 또는 실제로 2 이상이 개시된 경우 발명적 개념으로 자발적으로 제한하려는 의도는 없다. 따라서, 특정한 실시 예들이 본 명세서에 예시되고 기술되었지만, 동일한 목적을 달성하도록 계산된 임의의 배열이 도시된 특정한 실시 예들을 대체할 수도 있다는 것이 인식되어야 한다. 이 개시는 다양한 실시 예들의 모든 적응들 또는 변형들을 커버하도록 의도된다. 상기 실시 예들의 조합들, 및 본 명세서에 구체적으로 기술되지 않은 다른 실시 예들은 상기 기술을 검토할 때 당업자에게 자명할 것이다.

Claims (10)

  1. 기판을 프로세싱하는 방법에 있어서,
    내부에 기판을 갖는 반응 챔버 내로 제 1 반응 물질 가스를 전달하는 단계로서, 상기 제 1 반응 물질 가스의 반응 부분은 상기 기판의 표면 상으로 흡수되는, 상기 제 1 반응 물질 가스를 전달하는 단계;
    상기 반응 챔버로부터 상기 제 1 반응 물질 가스의 비반응 부분을 퍼지하는 단계로서, 상기 제 1 반응 물질 가스의 상기 비반응 부분은 상기 기판의 상기 표면 상으로 흡수되지 않는, 상기 제 1 반응 물질 가스의 비반응 부분을 퍼지하는 단계;
    제 2 반응 물질 가스를 상기 반응 챔버 내로 전달하는 단계로서, 상기 제 2 반응 물질 가스는 이수소 (dihydrogen)(H2) 가스, 질소-기반 반응 물질 가스, 및 산소-기반 반응 물질 가스를 포함하는, 상기 제 2 반응 물질 가스를 전달하는 단계;
    상기 반응 챔버 내에서 상기 제 2 반응 물질 가스로 플라즈마를 점화하는 단계로서, 상기 플라즈마는 상기 기판의 상기 표면에 노출되는, 상기 플라즈마를 점화하는 단계;
    상기 플라즈마를 소화하는 단계; 및
    상기 플라즈마가 소화된 후 상기 반응 챔버를 퍼지하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마 가스는 H2, 아산화질소 (N2O), 및 이산소 (dioxygen) (O2) 의 혼합물을 포함하는, 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 제 2 반응 물질 가스를 상기 반응 챔버 내로 전달하기 전에 상기 반응 챔버에 커플링되는 혼합 용기 내에서 상기 이수소, 상기 질소-기반 반응 물질 가스, 및 상기 산소-기반 반응 물질 가스를 혼합하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 제 2 반응 물질 가스를 전달하는 단계는,
    상기 이수소 가스, 상기 질소-기반 반응 물질 가스, 및 상기 산소-기반 반응 물질 가스를 상기 반응 챔버 내로 개별적으로 전달하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마는 상기 제 2 반응 물질 가스가 상기 반응 챔버 내로 전달되는 동안 점화되는, 기판을 프로세싱하는 방법.
  6. 제 1 항에 있어서,
    상기 반응 챔버 내에서, 약 0.6 Torr 내지 약 6 Torr의 압력을 인가하는 단계;
    약 150 ℃ 내지 약 650 ℃의 온도로 상기 반응 챔버를 가열하는 단계; 및
    약 500 W 내지 약 5000 W의 RF 전력을 상기 반응 챔버에 커플링된 RF 생성기에 인가하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  7. 기판을 프로세싱하는 방법에 있어서,
    내부에 기판을 갖는 반응 챔버 내로 증기상의 제 1 반응 물질을 도입하고, 그리고 상기 제 1 반응 물질로 하여금 상기 기판 표면 상에 흡착되게 하는 단계;
    상기 제 1 반응 물질의 플로우가 중단된 후 상기 반응 챔버를 퍼지하는 단계;
    상기 제 1 반응 물질이 상기 기판 표면 상에 흡착되는 동안 증기상의 제 2 반응 물질을 상기 반응 챔버 내로 도입하는 단계로서, 상기 제 2 반응 물질은 1:1:1 비의 이수소 (dihydrogen)(H2), 질소-함유 반응 물질, 및 산소-함유 반응 물질을 포함하는, 상기 제 2 반응 물질을 도입하는 단계;
    상기 제 2 반응 물질에 기초하여 플라즈마를 점화하는 단계;
    막 층을 형성하기 위해, 상기 기판 표면 상의 상기 제 1 반응 물질과 상기 제 2 반응 물질 사이의 표면 반응을 구동하도록, 상기 기판 표면을 상기 플라즈마에 노출시키는 단계;
    상기 플라즈마를 소화하는 단계; 및
    상기 반응 챔버를 퍼지하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  8. 기판을 프로세싱하기 위한 시스템에 있어서,
    내부에 기판을 갖는 반응 챔버;
    다음 동작들을 수행하기 위한 인스트럭션들로 구성된 제어기로서,
    제 1 반응 물질 가스를 상기 반응 챔버 내로 전달하고―제 1 반응 물질 가스의 반응 부분은 상기 기판의 표면 상으로 흡수됨―, 그리고
    제 2 반응 물질 가스를 상기 반응 챔버 내로 전달하도록-상기 제 2 반응 물질 가스는 이수소 (dihydrogen)(H2) 가스, 질소-기반 반응 물질 가스, 및 산소-기반 반응 물질 가스를 포함함-구성된, 상기 제어기;
    상기 반응 챔버에 커플링된 펌프로서, 상기 펌프는 상기 제 1 반응 물질 가스가 전달된 후 상기 반응 챔버로부터 상기 제 1 반응 물질 가스의 비반응 부분을 퍼지하도록 구성되고, 상기 제 1 반응 물질 가스의 상기 비반응 부분은 상기 기판의 상기 표면 상으로 흡수되지 않는, 상기 펌프; 및
    상기 반응 챔버에 커플링된 RF 생성기로서, 상기 RF 생성기는 상기 반응 챔버 내의 상기 제 2 반응 물질 가스로 플라즈마를 점화하도록 구성되고, 상기 플라즈마는 상기 기판의 상기 표면에 노출되는, 상기 RF 생성기를 포함하는, 기판을 프로세싱하기 위한 시스템.
  9. 제 8 항에 있어서,
    상기 제 2 반응 물질은 1:1:1 비의 이수소 (H2), 아산화질소 (N2O), 및 이산소 (O2) 를 포함하는, 기판을 프로세싱하기 위한 시스템.
  10. 제 8 항에 있어서,
    상기 반응 챔버에 커플링된 혼합 용기를 더 포함하고, 상기 혼합 용기는 상기 반응 챔버 내로 상기 제 2 반응 물질 가스를 전달하기 전에 상기 이수소, 상기 질소-기반 반응 물질 가스, 및 상기 산소-기반 반응 물질 가스를 혼합하도록 구성되는, 기판을 프로세싱하기 위한 시스템.
KR1020217003022A 2018-06-29 2019-06-27 원자 층 증착 프로세스에서 산화 변환 KR20210016476A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862692015P 2018-06-29 2018-06-29
US62/692,015 2018-06-29
PCT/US2019/039621 WO2020006313A1 (en) 2018-06-29 2019-06-27 Oxidative conversion in atomic layer deposition processes

Publications (1)

Publication Number Publication Date
KR20210016476A true KR20210016476A (ko) 2021-02-15

Family

ID=68987561

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217003022A KR20210016476A (ko) 2018-06-29 2019-06-27 원자 층 증착 프로세스에서 산화 변환

Country Status (6)

Country Link
US (1) US20210272801A1 (ko)
KR (1) KR20210016476A (ko)
CN (1) CN112335019A (ko)
SG (1) SG11202013097VA (ko)
TW (1) TWI825114B (ko)
WO (1) WO2020006313A1 (ko)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7807225B2 (en) * 2003-01-31 2010-10-05 Sharp Laboratories Of America, Inc. High density plasma non-stoichiometric SiOxNy films
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8367506B2 (en) * 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US20100055442A1 (en) * 2008-09-03 2010-03-04 International Business Machines Corporation METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
JP2013072120A (ja) * 2011-09-28 2013-04-22 Toppan Printing Co Ltd ガスバリアフィルムの製造方法およびガスバリアフィルム
JP5771339B2 (ja) * 2012-02-21 2015-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子層堆積リソグラフィ
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation

Also Published As

Publication number Publication date
TWI825114B (zh) 2023-12-11
TW202013558A (zh) 2020-04-01
CN112335019A (zh) 2021-02-05
US20210272801A1 (en) 2021-09-02
WO2020006313A1 (en) 2020-01-02
SG11202013097VA (en) 2021-01-28

Similar Documents

Publication Publication Date Title
KR102570744B1 (ko) 반도체 디바이스 제조시 고품질 실리콘 옥사이드 막들의 저온 형성
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
KR102443554B1 (ko) 실리콘 옥사이드를 증착하기 위한 방법들
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
KR101913443B1 (ko) 컨포멀 막들의 플라즈마 활성화된 성막
US7790633B1 (en) Sequential deposition/anneal film densification method
US20110244694A1 (en) Depositing conformal boron nitride films
US7727906B1 (en) H2-based plasma treatment to eliminate within-batch and batch-to-batch etch drift
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
US20240063015A1 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN112640064A (zh) 用于高深宽比蚀刻的含金属钝化
CN111501013A (zh) 用于先进图案化的软着陆纳米层压层
JP4555410B2 (ja) 半導体上に酸化膜を形成する装置及び方法
KR101234566B1 (ko) 실리콘 산화막의 성막 방법 및 반도체 장치의 제조 방법
US10340136B1 (en) Minimization of carbon loss in ALD SiO2 deposition on hardmask films
KR20210016476A (ko) 원자 층 증착 프로세스에서 산화 변환
US6716740B2 (en) Method for depositing silicon oxide incorporating an outgassing step
JP2007273535A (ja) プラズマ原子層成長方法及び装置
Yamamoto et al. Growth and effects of remote-plasma oxidation on thin films of HfO 2 prepared by metal-organic chemical-vapor deposition
JP7494209B2 (ja) 調整された原子層堆積
CN111834202A (zh) 基板处理方法和基板处理装置
CN116356294A (zh) 形成低k材料层的方法、包括该层的结构及其形成系统
CN116848614A (zh) 具有独立温控的等离子体处理

Legal Events

Date Code Title Description
E902 Notification of reason for refusal