TW202345205A - 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法 - Google Patents

在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法 Download PDF

Info

Publication number
TW202345205A
TW202345205A TW111148159A TW111148159A TW202345205A TW 202345205 A TW202345205 A TW 202345205A TW 111148159 A TW111148159 A TW 111148159A TW 111148159 A TW111148159 A TW 111148159A TW 202345205 A TW202345205 A TW 202345205A
Authority
TW
Taiwan
Prior art keywords
gap
plasma
dielectric material
substrate
deposition
Prior art date
Application number
TW111148159A
Other languages
English (en)
Inventor
達斯廷 查克里 奧斯汀
喬瑟夫 R 亞伯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202345205A publication Critical patent/TW202345205A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

用介電材料填充間隙的方法包括在沉積期間使用抑制電漿。抑制電漿增加沉積膜的成核阻障。與特徵部的底部相比,抑制電漿選擇性地在特徵部的頂部附近進行作用,抑制特徵部頂部處的沉積,增強自下而上的填充。抑制電漿也可用於蝕刻特徵部的一部分,以減少空隙的形成。

Description

在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
許多半導體裝置製造製程涉及膜的形成,包括含矽膜(例如氧化矽或氮化矽)。電漿增強原子層沉積(ALD)可用於沉積含矽膜。當在間隙中沉積膜時,沉積高品質膜特別具有挑戰性。挑戰可包括膜中空隙及/或接縫的形成。
本文中提供的背景描述係針對概括地呈現本揭露內容之脈絡的目的。就其在本背景部分中所描述的範圍而言,目前列名之發明人的工作,以及在提交申請時不可以其他方式作為先前技術之描述的實施態樣皆不明示地或暗示地被認為係抵觸本揭露內容的先前技術。
本文揭露沉積膜的方法和系統。在本文實施例的一實施態樣中,提供膜沉積方法,該方法包括:提供具有結構的基板,該結構具有間隙;在結構的間隙中沉積第一介電材料的保形層;以及執行第一組一或更多循環:(a)將基板曝露於包括鹵素物種的電漿,以蝕刻間隙的第一部分上的第一介電材料;以及在(a)之後,在間隙中沉積第二介電材料;以及在執行第一組一或更多循環之後,在間隙中沉積額外的第二介電材料。
在一些實施例中,在(a)期間,將基板曝露於包括鹵素物種的電漿抑制間隙第二部分上的沉積。在一些實施例中,沉積第二介電材料包括電漿增強原子層沉積(ALD)製程。在一些實施例中,在間隙中沉積額外的第二介電材料包括執行一或更多循環:將基板曝露於包括鹵素物種的電漿,以抑制間隙的第二部分上的介電材料;以及在將基板曝露於包括鹵素物種的電漿之後,在間隙中沉積第二介電材料。在一些實施例中,第二部分靠近間隙的頂部。
在一些實施例中,保形層為含矽膜。在一些實施例中,保形層是氧化膜。在一些實施例中,第一介電材料和第二介電材料是二氧化矽。在一些實施例中,包含鹵素物種的電漿由含氟氣體產生。在一些實施例中,包含鹵素物種的電漿由含氮氣體產生。在一些實施例中,結構包括不同材料層且間隙保形地被多晶矽層覆蓋。在一些實施例中,間隙具有側壁,該側壁具有至少約0.5nm的粗糙度。在一些實施例中,將基板曝露於包含鹵素物種的電漿降低間隙的一或更多側壁的粗糙度。在一些實施例中,電漿具有每個基板至少約250W的功率。在一些實施例中,電漿具有每個基板約625W和約1500W之間的功率。在一些實施例中,將基板曝露於該電漿的持續時間為至少20秒。在一些實施例中,保形層至少約10埃厚。在一些實施例中,沉積保形層和執行該第一組一或更多循環是在同一腔室中執行。在一些實施例中,間隙包括凹入特徵部。在一些實施例中,在間隙中沉積第二介電材料包括兩個或更多ALD製程循環,其中該兩個或更多循環的至少第一ALD循環係蝕刻間隙之第二部分中的介電材料。
在本文實施例的另一實施態樣中,提供一種沉積膜的方法,該方法包括: 提供具有間隙的基板,該間隙包括凹入結構;在間隙中沉積第一介電材料的保形層;以及執行第一組一或更多循環,包括:(a)將基板曝露於包含鹵素物種的電漿,以抑制凹入結構上方之間隙的一部分上的沉積;以及在間隙中沉積第二介電材料;其中在(b)期間,凹入結構上方之間隙的部分被蝕刻。在一些實施例中,步驟(a)執行約0.1與約0.2秒之間。在一些實施例中,凹入結構具有第一部分和第二部分,以及第一部分的寬度與第二部分的寬度的比率至少約5:1。在一些實施例中,凹入結構的第一部分是該凹入結構的最窄部分,以及其中第一部分距間隙底部的高度小於間隙之總高度的約50%。在一些實施例中,步驟(b)包括電漿增強原子層沉積(ALD)製程。在一些實施例中,在步驟(b)期間,鹵素物種蝕刻間隙中的介電材料,但不蝕刻該凹入結構。在一些實施例中,在步驟(a)期間,鹵素物種被吸附在間隙的部分上,以及在步驟(b)期間所吸附的鹵素物種蝕刻間隙中的介電材料。在一些實施例中,在步驟(b)期間,激發具有約1000W和約3000W之間的功率的電漿。在一些實施例中,方法還包括執行第二組一或更多循環,以用介電材料填充間隙,其中第二組一或更多循環係在用介電材料部分填充凹入結構之後執行。
下文將參照相關圖式詳細描述所揭露實施例的這些和其他特徵部。
在以下描述中,提出許多特定細節,以提供對本揭露內容的透徹理解。所揭露實施例可在沒有這些特定細節的一些或全部者的情況下加以實施。在其他情形中,沒有詳細描述熟知的製程步驟,以免不必要地混淆本揭露內容。雖然所揭露實施例將接合特定實施例加以描述,但將理解,其不意在限制所揭露實施例。
半導體製造製程通常包括介電質間隙填充,其使用化學氣相沉積(CVD)及/或原子層沉積(ALD)方法來填充特徵部。本文描述用介電材料填充特徵部的方法以及相關系統和設備,包括但不限於含矽膜,例如氧化矽。本文所述的方法可用於填充形成在基板中的垂直定向的特徵部。如此特徵部可稱為間隙、下凹特徵部、負特徵部、未填充特徵部、或簡稱為特徵部。填充如此特徵部可稱為間隙填充。在基板中形成的特徵部可具有以下一或更多特徵:窄及/或凹入開口、特徵部內的收縮、和高縱橫比。在一些實施方式中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約20:1、至少約100:1或更大的縱橫比。基板可以是矽晶圓,例如200mm晶圓、300mm晶圓、或450mm晶圓,包括其上沉積有一層或多材料層的晶圓,如介電質、導電、或半導體材料。
本揭露內容的一實施態樣關於一種在間隙中介電材料的原子層沉積(ALD)期間使用抑制電漿的方法,其促進無空隙的底部間隙填充。抑制電漿產生鈍化表面,以及增加沉積ALD膜的成核阻障。當抑制電漿與特徵部中的材料作用時,由於幾何陰影效應,相較於較接近特徵部頂部處或場中的材料而言,特徵部底部處的材料接收較少的電漿處理。因此,特徵部頂部的沉積被選擇性地抑制,以及特徵部較下部的沉積以較少抑制或未被抑制的方式進行。因此,增強了自下而上的填充,這產生更期望的傾斜輪廓,其減輕接縫效應並抑制空隙形成。空隙和接縫在本文中可互換使用,且可用於指膜內的間隙、或膜內與膜的其他部分不均勻的部分,例如,膜的較低密度部分。含鹵素的電漿可以是有效的抑制電漿。例如,對於一些應用而言,與分子氮(N 2)生成的電漿相比,由三氟化氮(NF 3)生成的電漿可以在顯著減少的時間內提供抑制效應。含鹵素的電漿也可充當蝕刻劑。例如,在執行抑制時,以低能量撞擊表面的原子可充當抑制,而高能量原子可移除材料。由於電漿具有能量分佈,因此電漿的一些成分可撞擊側壁的峰部且進行蝕刻。可調整電漿特性,使得可使用該蝕刻成分來促進填充。本文描述的是填充特徵部的方法,其具有減小的空隙尺寸及/或形成。
圖1是說明用介電材料填充間隙的方法的製程流程圖。方法開始於提供具有一或更多待填充間隙的結構(101)。該結構可由沉積在基板上的一或更多材料層形成。基板可以是矽或其他半導體晶圓,例如200mm晶圓、300mm晶圓、或450mm晶圓,包括具有一或更多材料層的晶圓,例如沉積在晶圓上的介電材料、導電材料、或半導電材料。方法也可應用於其它基板的間隙的間隙填充,如玻璃、塑膠等,包括微機電(MEMS,microelectromechanical)裝置的製造。
結構的範例包括3D NAND結構、DRAM結構、場效應電晶體(FET,field effect transistor)結構、和淺溝槽隔離(STI,shallow trench isolation)結構。結構包括間隙,間隙的側壁由以下材料形成:對蝕刻敏感、及/或對下方層具有不同選擇性的材料。在一範例中,3D NAND結構包括覆蓋有多晶矽(poly Si)層的氧化物-氮氧化物-氮化物(ONON,oxide-nitrideoxide-nitride)堆疊。由於氧化物層和氮化物層對於沉積多晶矽層可具有不同的選擇性,因此多晶矽層可以不同的速率沉積在每一層上,導致不期望的粗糙度,以及導致填充特徵部中的空隙及/或接縫。側壁材料的其他範例包括氧化物、金屬、和半導體材料。本文所述的方法不限於特定類別的側壁材料。
在間隙中沉積保形層(103)。保形層可保護下方層在隨後的抑制電漿期間免於不需要的蝕刻。在一些實施例中,它可沉積到在後續製程期間保護下方層的厚度,該後續製程蝕刻元件。在一些實施例中,保形層是氮化矽層。在一些實施例中,保形層是氧化矽層。在一些實施例中,保形層是金屬氧化物層,例如氧化鈦、氧化鋯、氧化錫、氧化鉿、或其組合。藉由原子層沉積(ALD)沉積保形層可導致具有與下方多晶矽層相似之粗糙度的保形層。
一旦沉積保形層,使用具有蝕刻成分的抑制化學物種在間隙中沉積介電材料(105)。如下文進一步討論,這可能涉及以下循環:抑制電漿,然後是介電膜的ALD。在一些實施例中,可調整抑制電漿,以具有蝕刻成分,該蝕刻成分藉由選擇性地蝕刻側壁粗糙度的峰部來使側壁平滑化。在一些實施例中,可調整抑制電漿,以具有除了側壁抑制部分之外的蝕刻成分。在替代實施例中,結構曝露於可具有各向異性蝕刻成分而無抑制成分的電漿。各向異性蝕刻可使側壁平滑化。在一些實施例中,抑制電漿可用於目標深度蝕刻,以及原子層蝕刻類型蝕刻中的ALD循環。可藉由涉及以下循環的製程來填充間隙:抑制電漿,然後是介電材料的ALD。
圖2顯示在本文描述的間隙填充方法的諸多階段期間的結構200的範例。在201處,結構200顯示為具有待用介電材料填充的間隙206。在圖2的範例中,間隙206形成在ONON堆疊之間,其覆蓋有多晶矽層208,該多晶矽層208形成間隙206的側壁204。多晶矽層208具有粗糙度(在圖2中被放大),這在填充間隙時可能導致空隙及/或接縫。例如,在多晶矽層208中的峰部202上的沉積可能導致多晶矽層上所沉積氧化物材料中的峰部。因此,在沒有如本文所述的技術的情況下,特徵部中的間隙填充可能導致空隙及/或接縫,這是由於來自相對側壁的峰部接合於夾點,從而抑制夾點下方的填充。在圖2中,粗糙度對應於ONON堆疊的氧化物和氮化物層之間的沉積選擇性,使得多晶矽層在堆疊的不同部分具有增加的沉積,導致粗糙度和峰部202。粗糙度可能由非選擇性沉積的效應造成。
在203處,保形層210顯示為在多晶矽層208上。保形層保形地沉積在結構的間隙內。由於保形層可藉由保形製程沉積,故保形層210具有與下方多晶矽層相似的粗糙度輪廓。在一些實施例中,保形層210可至少約5埃(angstrom)厚或至少約10埃厚。在一些實施例中,保形層210可具有至少約為側壁粗糙度之等級的厚度。在一些實施例中,保形層210可為約1微米(micron)厚。這種沉積和蝕刻可以在整個製程中循環進行,以達成不同的深度或非意料增加的側壁粗糙度。在一些實施例中,保形層210可具有至少與粗糙度輪廓的高度一樣厚的厚度,使得當保形層210被回蝕來改善平滑度時,下方多晶矽層不被曝露或不被蝕刻。在一些實施例中,多晶矽層208具有至少約0.5nm、或約0.5nm至約250nm之間的粗糙度。
在205,結構曝露於電漿,例如抑制電漿,其具有蝕刻保形層210以形成平滑層212的蝕刻成分。由於電漿離子實質上是各向異性的,在側壁的峰部202處,保形層210被選擇性地蝕刻。峰部202遮蔽保形層210的谷部,使得其被蝕刻得不像峰部202那麼多。與谷部相比,平滑層212在峰部202處更薄,具有可有效反轉下方多晶矽層208之粗糙度且降低保形層210之粗糙度的厚度。在所示的實施例中,保形層210被蝕刻,使得下方多晶矽層208不被蝕刻。保形層210仍存在,但呈現為平滑層212,已被抑制電漿部分蝕刻,以減少峰部。除了蝕刻之外,抑制電漿還可抑制特徵部側壁上的沉積。
在207,使用抑制電漿,間隙206以自下而上的方式被部分地填充介電材料214(如關於圖3進一步詳細解釋),使得填充線216上方的多晶矽側壁上相對很少或沒有沉積。可執行自下而上填充的進一步循環,以完全填充間隙。由於側壁已被具有蝕刻成分的電漿平滑化,所以在沉積的介電膜中存在更少及/或更小的空隙,或沒有空隙。
如下文進一步討論,在一些實施例中,基板多次曝露於抑制電漿,以在ALD的複數循環之間抑制沉積。在一些實施例中,可使用多次曝露於抑制電漿來執行側壁平滑化,其中每一曝露進一步蝕刻和使側壁平滑化。在這樣的實施例中,側壁的第一部分可被蝕刻以及被抑制電漿抑制,而側壁的第二部分僅被抑制,或者被抑制和蝕刻但蝕刻到較小程度。通常,與特徵部中更深的側壁部分相比,更靠近間隙頂部的側壁部分可能經歷更大的蝕刻和抑制。
圖3顯示根據所揭露的實施例可使用的製程序列的範例。圖3中的製程序列包括在曝露於抑制電漿之前沉積保形層。在某些實施例中,可省略其他操作(例如,浸泡302、鈍化312),且在某些實施例中,可增加操作。在圖3的例示性製程序列中,基板上的一或更多特徵部經歷間隙填充。在一些實施例中,圖3中描述的一或更多操作可在單一製程腔室或工具中執行。在被提供到沉積腔室之後,製程可開始於浸泡(302)。例如,這可用於移除顆粒或進行其他預處理。然後,保形層沉積在基板的間隙中。保形層可藉由任何保形製程沉積,包括ALD、CVD、或濺射,包括任何電漿增強製程。下面討論ALD的進一步細節。在沉積保形層之後,可執行可選的蝕刻製程(306)。在一些實施例中,蝕刻製程可以是離散的蝕刻,以使保形層平滑化。在一些實施例中,蝕刻製程可包括將基板曝露於電漿。在一些實施例中,方框306與諸如方框308的抑制方框整合,以將基板曝露於具有蝕刻成分的抑制電漿。在一些實施例中,執行n個抑制方框,其中顯示抑制方框的操作。抑制電漿為表面處理,其可包括蝕刻成分(308)。如上所述,電漿可包括鹵素物種,包括陰離子和自由基物種,例如F-、Cl-、I-、Br-、氟自由基等。在一些實施例中,電漿由含鹵素的氣體產生。在一些範例中,含鹵素氣體可包括但不限於三氟化氮(NF 3)。可使用其他抑制電漿。例如,由分子氮(N 2)、分子氫(H 2)、氨(NH 3)、胺、二醇、二胺、氨基醇、硫醇、或其組合產生的電漿可用作抑制電漿。在一些實施例中,不含鹵素的氣體可與含鹵素氣體組合,以具有本文討論的蝕刻成分。在一些實施例中,除了抑制沉積之外,流入電漿中的物種能夠蝕刻待沉積於間隙中的介電材料。
當抑制電漿與特徵部中的材料相互作用時,由於幾何陰影效應,特徵部底部的材料比更靠近特徵部頂部的材料或場區域的材料接受更少的電漿處理。因此,特徵部頂部處的沉積被選擇性地抑制,且特徵部較下部分中的沉積以較少的抑制或未被抑制的方式進行。再者,可調整製程參數,以增加或減少抑制電漿的蝕刻成分。通常,增加以下者將增加抑制電漿的蝕刻成分:用於產生電漿的RF功率、將基板曝露於抑制電漿的持續時間、以及被電漿轉化為離子或自由基的物種的流速。增加這些參數將調整抑制電漿,以具有除抑制成分之外的更強的蝕刻成分,從而蝕刻特徵部的側壁,以使沉積在其上的保形層平滑化。
在圖3中,抑制方框中的下一操作是ALD填充的n1個循環(310)。介電材料選擇性地沉積在特徵部的底部。方框308中的抑制電漿和方框310中的ALD填充的n1個循環一起構成生長循環,且可重複n2次,以在抑制效應減弱時間歇性抑制操作繼續填充特徵部。抑制方框中的生長循環的數量可取決於特徵部的凹入性(re-entrancy),亦即,從特徵部的底部到頂部是否在一或更多點處變窄。呈現出更多凹入性的特徵部可使用更長的抑制時間或複數抑制方框。或者,如下文進一步討論,可使用更短的抑制時間和更少的ALD循環來逐漸填充具有凹入性的特徵部。一旦凹入特徵部被填充低於凹入性,可調整生長循環和抑制方框,以增加填充速度來改善產量。在圖3的範例中,抑制方框以鈍化操作結束(312)。鈍化操作312是表面處理,其移除殘留抑制劑且還可緻密化沉積膜。在一些實施例中,使用氧電漿。在一些實施例中,可省略方框312。
就總共n3個抑制方框而言,可執行或更多額外的抑制方框(包括生長循環和鈍化)。抑制方框的數量取決於用於填充特徵部的材料量。抑制電漿、ALD、和鈍化條件可從抑制方框更改為填充特徵部的抑制方框。例如,抑制電漿持續時間可以是30秒,直到特徵部的底部四分之一被填充(抑制方框1),然後對於結構的中間50%變為10秒(抑制方框2)等。在一些實施例中,抑制電漿的持續時間可基於要填充的結構的縱橫比及/或深度。在一些實施方式中,與隨後的抑制方框相比,第一抑制方框可具有更長的抑制電漿持續時間和更高的功率,以如上所述使側壁平滑化。用於本文所述的任何抑制方框的抑制電漿的持續時間可為至少約5秒、至少約10秒、至少約20秒、或至少約30秒。在一些實施例中,第一抑制方框可包括將基板曝露於具有蝕刻成分的抑制電漿,以及隨後的抑制方框可調整抑制電漿的參數以減少或移除蝕刻成分。在一或更多曝露於具有蝕刻成分的抑制電漿之後,對進一步平滑側壁而言,蝕刻成分可能非必需,且可能降低總填充率,這是不樂見的。
在一些實施方式中,抑制方框可以分為兩組或更多組方框。例如,第一組抑制方框可包括將基板曝露於具有蝕刻成分的抑制電漿,如本文所述。第二組抑制方框可包括調整製程參數,例如電漿功率、氣體流動、和抑制電漿處理持續時間,以用於抑制步驟,以減少或移除蝕刻成分。在足夠蝕刻特徵的部分之後,可執行第二組抑制方框,以減少第一組抑制方框期間空隙的形成。第二組抑制方框通常可比第一組抑制方框更快地填充間隙,使得一旦在第一組抑制方框期間特徵部已經被充分填充或蝕刻,第二組抑制方框則為較佳的,如本文所述。
當特徵部接近填滿時,可不再需要抑制,且可在不使用抑制的情況下完成填充(314)。在一些實施例中,然後可沉積介電質的蓋部或覆蓋層。電漿增強化學氣相沉積(PECVD)可在此階段用於快速沉積。
在諸多實施例中,抑制電漿是原位電漿,使得電漿直接形成在站中的基板表面上方。在一些實施例中,電漿是電容耦合電漿(CCP, capacitively coupled plasma)。用於原位電漿的4站室的範例功率可以是至少約1000W、至少約2500W、約1000W和約6000W之間、約1000W和約3000W之間、以及約2500W和約6000W之間。更高的功率可增加電漿的蝕刻成分。用於具有蝕刻成分的原位電漿的4站室的範例功率可以是至少約2500W、約1000W和約6000W之間、約1000W和約3000W之間、以及約2500W和約6000W之間。在一些實施例中,較低的功率(例如2500W)可與較長的電漿處理持續時間(例如30秒)一起使用,以蝕刻基板。這些功率係針對處理四個300mm晶圓的腔室。可以對更大/更小的晶圓、及/或更多/更少的腔室進行適當的修改(例如,對於單一晶圓而言,這些值可除以4,使得對於四個晶圓腔室的1000W對於單一晶圓可為約250W,或約每基板面積0.3536W/cm 2)。可藉由使用兩個電容耦合板將射頻(RF)場應用於氣體來生成用於ALD製程的電漿。射頻場對板間氣體的電離激發電漿,在電漿放電區域中產生自由電子。這些電子被RF場加速,且可與氣相反應分子碰撞。這些電子與反應分子的碰撞可形成參與沉積製程的自由基物種。將察知,RF場可經由任何合適的電極進行耦合。電極的非限制性範例包括製程氣體分佈噴淋頭和基板支撐基座。將察知,用於ALD製程的電漿可以藉由一或更多合適的方法形成,而不是RF場對氣體的電容耦合。在一些實施例中,電漿是遠端電漿,使得第二反應物在站上游的遠端電漿產生器中被激發,然後被輸送到容納基板的站。
在一些實施例中,抑制物種與惰性氣體的比例可為約1:5、約1:10、或約1:10至約1:20之間、或約1:5至約1:5000之間。通常,增加作為抑制物種之氣流(例如NF 3)的比例會增加抑制效應,及/或將基板曝露於抑制電漿的蝕刻成分。
如上所述,ALD用於填充特徵部。ALD是順序沉積材料薄層的技術。ALD製程使用表面介導的沉積反應,以在循環中逐層沉積膜。ALD「循環」的概念與本文諸多實施例的討論相關。通常,循環是用於進行一次表面沉積反應的最小操作組。一循環的結果是在基板表面上產生至少部分含矽膜層。通常,ALD循環包括以下操作:將至少一反應物輸送和吸附到基板表面,然後使吸附的反應物與一或更多反應物反應以形成膜的部分覆層。循環可包括某些輔助操作,例如清除反應物或副產物之一、及/或處理沉積的部分膜。通常,一循環包含一獨特序列操作的一實例。
作為範例,ALD循環可包括以下操作:(i)前驅物的輸送/吸附,(ii)從室中清除前驅物,(iii)第二反應物的輸送和可選的電漿激發,以及(iv)從腔室中清除副產物。第二反應物與吸附前驅物之間的反應在基板表面上形成膜會影響膜的組成和特性,例如不均勻性、應力、濕式蝕刻速率、乾式蝕刻速率、電學特性(例如,擊穿電壓和漏電流)等。
在ALD製程的範例中,包括大量表面主動位點的基板表面曝露於第一前驅物(例如含矽前驅物)的氣相分佈,其係以劑量方式被提供到容納基板的腔室。第一前驅物的分子吸附到基板表面上,包括第一前驅物的化學吸附物種及/或物理吸附物種。當化合物如本文所述吸附到基板表面上時,吸附層可包括該化合物以及該化合物的衍生物。例如,含矽前驅物的吸附層可包括含矽前驅物以及含矽前驅物的衍生物。在第一前驅物劑量之後,然後將腔室排空,以移除大部分或全部的保持為氣相的第一前驅物,使得大部分吸附物種或僅吸附物種被保留。在一些實施方式中,腔室可能沒有被完全排空。例如,可以將反應器排空,使得呈氣相的第一前驅物的分壓足夠低,以減緩反應。將諸如含氧氣體或含氮氣體的第二反應物引入腔室,使得這些分子中的一些者與吸附在表面上的第一前驅物反應。在一些製程中,第二反應物立即與吸附的第一前驅物反應。在其他實施例中,第二反應物僅在暫時施加例如電漿的啟動源時才反應。然後可以再次排空腔室,以移除未結合的第二反應物分子。如上所述,在一些實施例中,腔室可能沒有被完全排空。額外的ALD循環可用於增加膜厚度。
圖4呈現單一電漿增強ALD循環的製程流程圖,該循環可以作為操作103的一部分實施,以沉積保形層或用於圖3所示的任何其他ALD操作。在操作402中,將基板曝露於含矽前驅物,以將前驅物吸附到特徵部表面上。此操作可能是自限制性的。在一些實施例中,前驅物吸附到少於特徵部表面上的全部主動位點。在操作404中,可選地淨化製程腔室,以移除任何未吸附的含矽前驅物。在操作406中,基板曝露於由共反應物產生的電漿。範例包括用於形成氧化矽層的含氧物種(例如,O 2及/或N 2O)、用於形成氮化矽層的含氮物種(例如,N 2或NH 3)等。在操作408中,可選地淨化製程腔室,以從含矽前驅物和氧化劑之間的反應中移除副產物。操作402至408重複多個循環,以在特徵部中沉積含矽層到期望厚度。
應注意,本文描述的製程不限於特定的反應機制。因此,關於圖3描述的製程包括使用順序曝露於含矽反應物和轉化電漿的全部沉積製程,包括非嚴格自限制性者。製程包括以下序列:用於產生電漿的一或更多氣體連續流動貫穿製程,且間歇性的電漿激發。
就沉積氧化矽而言,可使用一或更多含矽前驅物。在一些實範例中,含矽前驅物可包括矽烷(例如,SiH 4)、聚矽烷(H 3Si-(SiH 2) n-SiH 3),其中n≥1、有機矽烷、鹵代矽烷、氨基矽烷、烷氧基矽烷等。有機矽烷例如甲基矽烷、乙基矽烷、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、仲丁基矽烷、三烷基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等。
鹵代矽烷包括至少一鹵素基團,且可包括或不包括氫及/或碳基團。鹵矽烷的範例是碘矽烷、溴矽烷、氯矽烷、和氟矽烷。特定的氯矽烷為四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯仲丁基矽烷、叔丁基二甲基氯矽烷、三甲基氯矽烷等。
氨基矽烷包括鍵合至硅原子的至少一氮原子,但也可以包含氫、氧、鹵素、和碳。氨基矽烷的範例為單、二、三、和四氨基矽烷(分別為 H 3Si(NH 2)、H 2Si(NH 2) 2、HSi(NH 2) 3、和Si(NH 2) 4),以及取代的單、 二、三、和四氨基矽烷,例如叔丁基氨基矽烷、甲基氨基矽烷、叔丁基矽烷胺、雙(叔丁基氨基)矽烷(SiH 2(NHC(CH 3) 3) 2(BTBAS,bis(tert-butylamino)silane)、叔丁基甲矽烷基氨基甲酸酯、SiH(CH 3)-(N(CH 3) 2) 2、SiHCl-(N(CH 3) 2) 2、(Si(CH 3) 2NH) 3、二異丙基氨基矽烷(DIPAS,diisopropylaminosilane)、二仲丁基氨基矽烷(DSBAS,di-sec-butylaminosilane)、SiH 2[N(CH 2CH 3) 2] 2(BDEAS)等。氨基矽烷的進一步範例為三甲矽烷基胺(N(SiH 3))。在一些實施例中,可使用具有兩或更多胺基團的氨基矽烷,該兩或更多胺基團附接到中心Si原子。相較於僅具有單一附接胺基團的氨基矽烷,上述者可能導致較少的損害。
含矽前驅物的進一步範例包括三甲基矽烷(3MS,trimethylsilane)、乙基矽烷、丁矽烷、戊矽烷、八矽烷、七矽烷、己矽烷、環丁矽烷、環庚矽烷、環己矽烷、環辛矽烷、環戊矽烷、1,4-dioxa-2,3,5,6-四矽環己烷、二乙氧基甲基矽烷(DEMS,diethoxymethylsilane)、二乙氧基矽烷(DES,diethoxysilane)、二甲氧基甲基矽烷、二甲氧基矽烷(DMOS,dimethoxysilane);甲基二乙氧基矽烷(MDES,methyl-diethoxysilane)、甲基二甲氧基矽烷(MDMS,methyl-dimethoxysilane)、八甲氧基十二矽氧烷(OMODDS,octamethoxydodecasiloxane)、叔丁氧基二矽烷、四甲基環四矽氧烷(TMCTS,tetramethylcyclotetrasiloxane)、四氧甲基環四矽氧烷(TOMCTS,tetraoxymethylcyclotetrasiloxane)、三乙氧基矽烷(TES,triethoxysilane)、三乙氧基矽氧烷(TRIES,triethoxysiloxane)、和三甲氧基矽烷(TMS 或 TriMOS,trimethoxysilane)。
在一些實施方式中,含矽前驅物可包括矽氧烷或含氨基的矽氧烷。在一些實施例中,本文使用的矽氧烷可具有X(R1)aSi-O-Si(R 2)bY的化學式,其中a和b是0至2的整數,且X和Y獨立地可以是H或NR 3R 4,其中R 1、R 2、R 3、和R 4的每一者是氫、直鏈烷基(unbranched alkyl)、支鏈烷基(branched alkyl)、飽和雜環基、不飽和雜環基、或其組合。在一些實施例中,當X或Y至少一者為NR 3R 4時,R 3和R 4(與其各自附接的原子一起)形成飽和雜環化合物。在一些實施例中,含矽前驅物是含五甲基化氨基團的矽氧烷、或含二甲基化氨基團的矽氧烷。含氨基團矽氧烷的範例包括:1-二乙氨基1,1,3,3,3,-五甲基二矽氧烷、1-二異丙氨基-1,1,3,3,3,-五甲基二矽氧烷、1-二丙氨基-1,1, 3,3,3,-五甲基二矽氧烷、1-二正丁基氨基1,1,3,3,3,-五甲基二矽氧烷、1-二仲丁基氨基-1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基乙基氨基1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基丙基氨基-1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基丁基氨基-1,1,3, 3,3,-五甲基二矽氧烷、1-叔丁氨基-1,1,3,3,3,-五甲基二矽氧烷、1-哌啶子-1,1,3,3,3,-五甲基二矽氧烷、1-二甲基氨基-1,1-二甲基二矽氧烷、1-二乙基氨基-1,1-二甲基二矽氧烷、1-二異丙基氨基-1,1-二甲基二矽氧烷、1-二丙基氨基-1,1-二甲基二矽氧烷、1-二正丁基氨基-1,1-二甲基二矽氧烷、1-二仲丁氨基-1,1-二甲基二矽氧烷、1-N甲基乙基氨基-1,1-二甲基二矽氧烷、1-N甲基丙氨基-1,1-二甲基二矽氧烷、e1-N-甲基丁氨基-1,1-二甲基二矽氧烷、1-哌啶基-1,1-二甲基二矽氧烷、1-叔丁基氨基-1,1-二甲基二矽氧烷、1-二甲基氨基-二矽氧烷、1-二乙基氨基-二矽氧烷、1-二異丙基氨基-二矽氧烷、1-二丙基氨基-二矽氧烷、1 -二正丁基氨基二矽氧烷、1-二仲丁基氨基二矽氧烷、1-N甲基乙基氨基二矽氧烷、1-N-甲基丙基氨基二矽氧烷、1-N-甲基丁基氨基二矽氧烷、1-哌啶基二矽氧烷、1-叔丁基氨基二矽氧烷、和1-二甲基氨基-1,1,5,5,5,-五甲基二矽氧烷。
在沉積膜包括氧的情況下,可使用含氧反應物。含氧反應物的範例包括但不限於氧(O 2)、臭氧(O 3)、一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、三氧化二氮(N 2O 3)、四氧化二氮(N 2O 4)、五氧化二氮(N 2O 5)、一氧化碳(CO)、二氧化碳(CO 2)、一氧化硫(SO)、二氧化硫(SO 2)、含氧烴(CxHyOz)、水(H 2O)、甲醛(CH 2O )、硫化羰(COS)、其混合物等。
在沉積膜包括氮的情況下,可使用含氮反應物。含氮反應物至少包含一氮,例如氮(N 2)、氨氣(NH 3)、肼(N 2H 4)、胺(例如含碳胺)(例如甲胺(CH5N)、二甲胺((CH 3) 2NH )、乙胺(C 2H 5NH 2)、異丙胺(C 3H 9N)、叔丁胺(C 4H 11N)、二叔丁胺(C 8H 19N)、環丙胺(C 3H 5NH 2)、仲丁胺(C 4H 11N)、環丁胺(C 4H 7NH 2)、異戊胺(C 5H 13N)、 2-甲基丁-2-胺(C 5H 13N)、三甲胺(C 3H 9N)、二異丙胺(C 6H 15N)、二乙基異丙胺(C 7H 17N)、二叔丁基肼(C 8H 20N 2)),以及含芳香胺(aromatic containing amine)(例如,苯胺(aniline)、吡啶(pyridine)、 芐胺(benzylamine)。胺可以是伯胺(primary)、仲胺(secondary)、叔胺(tertiary)、或季胺(quaternary)(例如,四烷基銨(tetraalkylammonium)化合物)。含氮反應物可以含有除氮以外的雜原子,例如羥胺、叔丁氧羰基胺、和N-叔丁基羥胺,其係含氮反應物。其他範例包括NxOy化合物,例如一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、三氧化二氮(N 2O 3)、四氧化二氮(N 2O 4)、及/或五氧化二氮(N 2O 5)。
本揭露的另一實施態樣相關於具有凹入結構的填充特徵部。如上所述,抑制電漿可用於抑制特徵部頂部附近的沉積,在特徵部底部附近抑制較少或沒有抑制,從而在使用ALD製程時有利於自下而上的填充機制。然而,在一些實施例中,抑制電漿在一定深度以上並不完全抑制;相反,抑制效應在整個特徵部深度呈錐形輪廓(taper)。因此,儘管有一定程度的抑制,但在特徵部底部附近的側壁上可能發生一些沉積。這在特徵部的輪廓實質上是直的情況下可能不是問題,因為自下而上的填充機制可能比任何夾止進行地更快,該夾止可能從特徵部底部附近的側壁生長。然而,在間隙包括凹入結構的實施方式中,側壁生長可足夠地進行,以在凹入下方的間隙被充分填充之前導致夾止。
圖5呈現一系列圖式,以說明用於填充具有凹入結構的特徵部的製程。在501,結構500具有待用介電材料填充的間隙506、夾點502、和凹入開口513。在一些實施例中,夾點502處特徵部的寬度對夾點502以下特徵部的寬度的比例至少約為5:1,或至少約為10:1。在一些實施例中,夾點502距特徵部底部的高度為特徵部總高度的約40%,或小於特徵部總高度的約50%。在一些實施例中,總特徵部深度為約3微米,或小於約3微米。由於側壁沉積導致的夾點502處的夾止,嘗試使用抑制電漿以自下而上的填充機制來填充此特徵部可能導致非常緩慢的填充或凹入開口513中的空隙。如果結構曝露於抑制電漿以在夾點502處完全抑制,則抑制電漿也將到達夾點502以下,從而防止凹入開口513中的沉積或顯著減慢沉積速率。或者,如果抑制量減少,則夾點502可在凹入開口513填充之前填充,導致夾止和空隙發生。
為了解決這個問題,提供一種在夾點502處進行回蝕的製程,其在凹入開口513中不蝕刻、減少蝕刻、或沉積。在一些實施例中,如上所述,抑制電漿在某些製程條件下可具有蝕刻成分。如上所述,在抑制操作期間增加電漿的功率導致抑制物種形成離子,其具有足夠的能量來蝕刻基板。如本文所討論的ALD沉積也可以是電漿增強ALD,使得電漿被激發具有與用於抑制操作的電漿類似的特性。此外,在抑制操作之後,腔室及/或結構可具有少量的抑制物種,例如氟,當為ALD操作激發電漿時,其可變成轟擊和蝕刻基板的離子。該效應可類似於原子層蝕刻(ALE)製程,其中在抑制操作後當電漿首次激發時,抑制表面處理留下的一些物種蝕刻基板。在一些範例中,該效應通常僅在抑制處理後的初始ALD循環(例如,總ALD循環的前1或2個ALD循環)內觀察到,此後,沉積發生在隨後的ALD循環中。此外,可調整該蝕刻效應,以在夾點502以下不發生,從而容許膜在夾點以下生長,且降低夾止的風險。
來自抑制處理後之第一ALD循環的ALE型蝕刻可能優於離散的蝕刻步驟。特別地,不需要執行單獨的蝕刻操作,從而增加產量,特別是因為抑制和ALD操作可在同一腔室中執行。此外,離散的蝕刻可能更難調整成在不蝕刻待填充凹入結構的情況下在夾點處和夾點上方進行蝕刻。離散的蝕刻也可能在夾點上方蝕刻較多,從而導致下方層的損壞。
因此,在一些實施方式中,ALE型蝕刻可用於回蝕夾點處的沉積,同時容許夾點以下的沉積。返回到圖5,在503a處沉積保形層508。保形層508可充當犧牲層,使得後續蝕刻影響保形層508而不是下方層。在一些實施例中,保形層508是與填充特徵部的介電材料相同的介電材料。在其他實施例中,保形層508可以是保護襯部,其對抑制電漿具有比介電材料更低的選擇性,以抑制下方層的蝕刻。保形層508可以是本文針對保形層描述的任何材料。
在505,結構曝露於抑制電漿以形成抑制層510。層510可呈錐形,與夾點502相比,在特徵部的頂部附近具有更大的抑制效應。
在507,執行一或更多ALD循環。在夾點502下方,ALD循環導致介電材料514的沉積,使得特徵部被部分填充。在夾點502上方,一些抑制層510被離子化,以導致保形層508的輕微蝕刻,導致側壁沒有生長或甚至與503處的保形層相比有輕微的回蝕。在諸多實施方式中,單一ALD循環可導致夾點以下的沉積和夾點以上的蝕刻。在其他實施例中,第一ALD循環可蝕刻夾點以上,但在夾點以下不蝕刻或沉積。然後,在第二ALD循環中,介電材料可保形地沉積,或從底部到頂部呈錐形沉積(這是因為抑制沉積的表面處理)。由於第一ALD循環在夾點502處和上方導致蝕刻,因此在單一生長循環之後,額外的ALD循環不在夾點處導致側壁厚度的淨增長,但在夾點502以下導致沉積介電材料的淨增長。
505和507處所示的操作可重複多次,以在夾點502下方逐漸沉積介電材料。
在509,夾點502下方的間隙可用介電材料514充分填充,使得凹入特徵部從其他間隙的幾何形狀不再明顯。在這樣的實施例中,可調整製程,以使用如本文所述的自下而上的填充機制,且在夾點處和上方不進行蝕刻。在 511,特徵部被完全填充,且在凹入開口513中或附近具有更小的空隙或沒有空隙。
返回到圖3,可執行圖3的製程流程,以實現如本文所述的ALE型蝕刻。除方框308和310之外,大部分操作可如上所述執行。參考方框308和310,可調整抑制電漿和ALD填充,以控制填充低於凹入及抑制夾止。在一些實施例中,可執行用於填充凹入結構的抑制電漿,其使用與關於側壁平滑化所描述之功率、製程氣流、溫度、或壓力相同參數。然而,將基板曝露於抑制電漿的持續時間可在約0.1和約0.2秒之間、約0.25和約0.5秒之間、或小於約1秒。在一些實施例中,在初始ALD循環期間增加功率或流速可增加蝕刻量,這對更快蝕刻凹入結構、減少ALE型蝕刻製程的持續時間、及因此之增加產量而言可為樂見的。較短的曝光時間是為了避免抑制凹入結構下方,且亦可基於在抑制處理之間使用較少的ALD循環。在一些實施例中,用於實現ALE型蝕刻的ALD循環期間的RF功率可以與本文先前描述的RF功率相同。在一些實施例中,RF功率在約1000W和約3000W之間。
在一些實施方式中,在抑制處理之間執行一ALD循環。在其他實施方式中,在抑制處理之間執行1、2、3、或4個ALD循環。在一些實施例中,在抑制處理之間執行1至4個ALD循環。在一些實施例中,在抑制處理之間執行少於約10個ALD循環。要執行的ALD循環的數目可取決於夾點下方和夾點處的沉積速率。由於較少的ALD循環降低產量,因此基於每個生長循環在夾點處沒有淨沉積,同時在凹入結構中的夾點以下進行沉積,可選擇ALD循環的數量。在諸多實施例中,第一ALD循環蝕刻到特徵部內的目標深度(例如,夾點)而在目標深度以下不蝕刻,而隨後的ALD循環以自下而上的機制在特徵部內沉積。與第一ALE型循環的沉積相比,藉由具有相對較少的ALD循環,特徵部的底部可填充,而不會由於凹入結構在凹入結構的夾點上方填充而發生夾止。
設備。圖6示意性地顯示可用於使用原子層沉積(ALD)及/或化學氣相沉積(CVD)來沉積材料的製程站600的實施例,其中原子層沉積(ALD)及/或化學氣相沉積(CVD)的任何一者可為電漿增強。為簡單起見,製程站600被繪示為獨立製程站,其具有用於維持低壓環境的製程腔室主體602。然而,將察知,複數製程站600可包含於共同的製程工具環境中。此外,將察知,在一些實施例中,製程站600的一或更多硬體參數(包括下面詳細討論的內容)可以由一或更多電腦控制器650程式化地調整。
製程站600與反應物輸送系統601流體連通,用於將製程氣體輸送到分配噴淋頭606。反應物輸送系統601包括混合容器604,用於混合及/或調節製程氣體以輸送到噴淋頭606。一或更多混合容器入口閥620可控制製程氣體到混合容器604的引入。類似地,噴淋頭入口閥605可控制製程氣體到噴淋頭606的引入。在一些實施例中,抑制劑或其他氣體可直接輸送到腔室主體602。一或更多混合容器入口閥720可控制製程氣體到混合容器604的引入。在諸多操作期間,可以根據製程氣體、抑制氣體、或載體氣體是否開啟來控制這些閥。在一些實施例中,可以藉由使用抑制液體以及使用加熱汽化器的汽化來產生抑制氣體。
作為一範例,圖6的實施例包括用於汽化供應至混合容器604的液體反應物的汽化點603。在一些實施例中,汽化點603可以是加熱汽化器。由如此汽化器產生的反應物蒸汽可在下游輸送管道中冷凝。不相容氣體曝露於冷凝反應物可能產生小顆粒。這些小顆粒可能堵塞管道、阻礙閥門操作、污染基材等。解決這些問題的一些方法包括清掃及/或排空輸送管道,以移除殘留的反應物。然而,清掃輸送管道可能增加製程站的循環時間,從而降低製程站的產量。因此,在一些實施例中,汽化點603下游的輸送管道可進行熱追蹤。在一些範例中,混合容器604也可進行熱追蹤。在一非限制性範例中,汽化點603下游的管道在混合容器604處具有從約100°C延伸到約150°C增加的溫度輪廓。
在一些實施例中,反應物液體可以在液體注入器處汽化。例如,液體注入器可將液體反應物脈衝注入到混合容器上游的載體氣體流中。在一種情況下,液體注入器可藉由將液體從較高壓力閃蒸至較低壓力來蒸發反應物。在另一種情況下,液體注入器可將液體霧化成分散的微滴,這些微滴隨後在加熱的輸送管中蒸發。將察知,較小的液滴可能比較大的液滴蒸發得更快,從而減少液體注入和完全蒸發之間的延遲。較快的汽化可降低汽化點603下游的管道長度。在一種情況下,液體注入器可直接安裝到混合容器604。在另一種情況下,液體注入器可直接安裝到噴淋頭606。
在一些實施例中,可提供汽化點603上游的液體流量控制器(LFC,liquid flow controller),用於控制用於汽化和輸送到製程站600的液體的質流。例如,液體流量控制器可包括位於LFC下游的熱質量流量計(MFM,mass flow meter)。然後,響應於與MFM電通訊的比例-積分-微分(PID,proportional-integral-derivative)控制器提供的反饋控制訊號,可調節LFC的柱塞閥(plunger valve)。然而,使用反饋控制可能需要一秒或更長時間來穩定液體流動。這可能延長配給(dosing)液體反應物的時間。因此,在一些實施例中,LFC可在反饋控制模式和直接控制模式之間動態切換。在一些實施例中,LFC可以藉由禁用LFC的感測管和PID控制器來動態地從反饋控制模式切換到直接控制模式。
噴淋頭606將製程氣體分配到基板612。在圖6中所示的實施例中,基板612位於噴淋頭606下方,且被示為設置在基座608上。將察知,噴淋頭606可具有任何合適的形狀,且可具有用於將製程氣體分配到基板612的任何合適數量和佈置的埠。
在一些實施例中,微容積607位於噴淋頭606下方。在微容積中而不是在製程站的整個容積中執行ALD及/或CVD製程可減少反應物曝露和清掃時間,可減少改變製程條件(例如,壓力、溫度等)的時間,可限制製程站機器人對製程氣體的曝露等。例示性微容積尺寸包括但不限於0.1升和2升之間的容積。這種微容積也影響生產力產量。在每個循環的沉積速率下降的同時,循環時間也同時減少。在某些情況下,後者的效果足夠顯著,以改善給定目標膜厚度的模組整體產量。
在一些實施例中,基座608可以升高或降低,以將基板612曝露於微容積607及/或改變微容積607的容積。例如,在基板轉移階段,基座608可降低,從而容許將基板612加載到基座608上。在沉積製程階段期間,基座608可升高,以將基板612定位在微容積607內。在一些實施例中,微容積607可完全包圍基板612以及基座608的一部分,以在沉積製程期間產生高流動阻抗區域。
可選地,基座608可在沉積製程的部分期間降低及/或升高,以調節微容積607內的製程壓力、反應物濃度等。在製程腔室主體602於沉積製程期間保持在基礎壓力的情況下,降低基座608可容許微容積607被排空。微容積與製程腔室容積的例示性比率包括但不限於在1:600和1:10之間的容積比率。將察知,在一些實施例中,基座高度可藉由合適的電腦控制器程式化地調整。
在另一情況下,調整基座608的高度可容許電漿密度在電漿啟動及/或處理循環期間發生變化,該電漿啟動及/或處理循環包含在沉積製程中。在沉積製程階段結束時,基座608可在另一基板傳送階段期間被降低,以容許從基座608移除基板612。
雖然本文描述的例示性微容積變化指的是高度可調的基座,但將察知,在一些實施例中,噴淋頭606的位置可相對於基座608進行調整,以改變微容積607的容積。此外,將察知,基座608及/或噴淋頭606的垂直位置可藉由本揭露範圍的任何合適的機構來改變。在一些實施例中,基座608可包括用於旋轉基板612的方向的旋轉軸。將察知,在一些實施例中,這些範例調整中的一或更多者可由一或更多合適的電腦控制器程式化地加以執行。
返回圖6所示的實施例,噴淋頭606和基座608與RF電源614和匹配網路616電性連通,以用於為電漿供電。在一些實施例中,可藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率、和電漿功率脈衝時序中的一或更多者來控制電漿能量。例如,RF電源614和匹配網路616可在任何合適的功率下操作,以形成具有期望自由基物種組成的電漿。合適功率的範例包括在上面。同樣,RF電源614可提供任何合適頻率的RF功率。在一些實施例中,RF電源614可被配置成控制彼此獨立的高頻和低頻RF電源。例示性低頻RF頻率可包括但不限於介於50kHz和500kHz之間的頻率。例示性高頻RF頻率可包括但不限於 1.8MHz和2.45GHz之間的頻率。將察知,可離散地或連續地調整任何合適的參數,以為表面反應提供電漿能量。在非限制性範例中,電漿功率可間歇地脈動,以相對於連續供電的電漿減少對基板表面的離子轟擊。
在一些實施例中,電漿可藉由一或更多電漿監控器原位監控。在一情況下,電漿功率可由一或更多電壓、電流感測器(例如,VI探針)監控。在另一情況下,電漿密度及/或製程氣體濃度可藉由一或更多光學發射光譜感測器(OES,optical emission spectroscopy sensor)來測量。在一些實施例中,一或更多電漿參數可基於來自這樣的原位電漿監控器的測量來程式化地調整。例如,OES感測器可用在反饋迴路中,以提供電漿功率的程式控制。將察知,在一些實施例中,可使用其他監控器來監控電漿和其他製程特性。這樣的監控器可包括但不限於紅外線(IR)監控器、聲學監控器、和壓力轉換器。
在一些實施例中,電漿可藉由輸入/輸出控制(IOC,input/output control)序列指令來控制。在一範例中,用於設定電漿製程階段的電漿條件的指令可包括在沉積製程配方的相應電漿啟動配方階段中。在一些情況下,製程配方階段可順序排列,使得沉積製程階段的全部指令與該製程階段同時執行。在一些實施例中,用於設定一或更多電漿參數的指令可包括在電漿製程階段之前的配方階段中。例如,第一配方階段可包括用於設定惰性及/或反應氣體流速的指令、用於將電漿產生器設定到功率設定點的指令、以及用於第一配方階段的時間延遲指令。第二後續配方階段可包括用於致能電漿產生器的指令、和用於第二配方階段的時間延遲指令。第三配方階段可包括用於禁能電漿產生器的指令、和用於第三配方階段的時間延遲指令。將察知,這些配方階段可以在本揭露的範圍內以任何合適的方式進一步細分及/或重複。
在一些沉積製程中,電漿衝擊持續幾秒的位準或更長時間。在某些實施方式中,可使用更短的電漿衝擊。這些可能在10ms到1s的位準,典型地,約20到80ms,50ms是一特定的範例。這種非常短的RF電漿衝擊需要電漿的極快的穩定。為實現這一點,電漿產生器可配置成使得將阻抗匹配預設為特定電壓,同時容許頻率浮動。習知地,高頻電漿以約13.56MHz的RF頻率產生。在本文揭露的諸多實施例中,容許頻率浮動到不同於該標準值的值。藉由容許頻率浮動同時將阻抗匹配固定到預定電壓,電漿可更快地穩定,當使用與某些類型之沉積循環相關的非常短的電漿衝擊時,這可能是很重要的結果。
在一些實施例中,基座608可藉由加熱器610進行溫度控制。此外,在一些實施例中,沉積製程站600的壓力控制可以由蝶形閥618提供。如圖6的實施例所示,蝶形閥618節流由下游真空泵(未示出)提供的真空。然而,在一些實施例中,製程站600的壓力控制也可藉由改變引入到製程站600的一或更多氣體的流速來調整。
圖7是根據某些實施例的適用於進行膜沉積製程的處理系統的方框圖。系統700包括傳送模組703。傳送模組703提供清洗、加壓的環境,以使在諸多反應器模組之間移動之受處理基板的污染風險最小化。安裝在傳送模組703上的是兩個多站反應器709和710,根據某些實施例,其每一者能夠執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器709和710可包括複數站711、713、715、和717,其可根據所揭露實施例順序地或非順序地執行操作。這些站可包括加熱基座或基板支撐件、一或更多氣體入口或噴淋頭或分散板。
也安裝在轉移模組703上的可為一或更多單站或多站模組707,其能夠執行電漿或化學(非電漿)預清洗,或與所揭露方法相關的任何其他製程。模組707在一些情況下可用於諸多處理,以例如為沉積製程準備基板。模組707亦可被設計/配置為執行諸多其他製程,例如蝕刻或拋光。系統700亦包括一或更多晶圓源模組701,其中晶圓在處理之前和之後被儲存。大氣傳送室719中的大氣機器人(未示出)可首先將晶圓從源模組701移出至裝載鎖721。傳送模組703中的晶圓傳送裝置(通常為機械臂單元)將晶圓從裝載鎖721移動至轉移模組703上的模組以及在模組之間移動。
在諸多實施例中,採用系統控制器729來控制沉積期間的製程條件。控制器729通常將包括一或更多記憶體裝置和一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進器馬達控制器板等。
控制器729可控制沉積設備的全部活動。系統控制器729執行系統控制軟體,包括用於控制以下者的指令組:時間、氣體混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或基座位置、以及特定製程的其他參數。在一些實施例中,可採用儲存在與控制器729相關聯的記憶體裝置上的其他電腦程式。
通常會有與控制器729相關聯的使用者界面。使用者界面可包括顯示螢幕、設備及/或製程條件的圖形軟體顯示,以及使用者輸入設備,例如設置裝置、鍵盤 、觸摸螢幕、麥克風等。
系統控制邏輯可以任何合適的方式配置。一般而言,可以在硬體及/或軟體中設計或配置邏輯。用於控制驅動電路的指令可以是硬編碼或作為軟體提供。指令可藉由「程式化」來提供。如此程式化被理解為包括任何形式的邏輯,包括數位訊號處理器中的硬編碼邏輯、特定用途積體電路、和其他裝置,其具有作為硬體實現的特定演算法。程式化也被理解為包括可在一般用途處理器上執行的軟體或韌體指令。系統控制軟體可用任何合適的電腦可讀程式語言進行編碼。
用於控制含鍺還原劑脈衝、氫流、和含鎢前驅物脈衝以及製程序列中之其他製程的電腦程式編碼可以用任何習知的電腦可讀程式語言編寫:例如 、彙編語言、C、C++、Pascal、Fortran、或其他語言。編譯後的目標編碼或腳本由處理器執行,以執行程式中識別的任務。同樣如指出,程式編碼可以是硬編碼。
控制器參數相關於製程條件,例如製程氣體組成和流速、溫度、壓力、冷卻氣體壓力、基板溫度、和腔室壁溫度。這些參數以配方的形式提供給使用者,且可以利用使用者界面輸入。用於監控製程的訊號可以由系統控制器729的類比及/或數位輸入連接部提供。用於控製製程的訊號在沉積設備700的類比和數位輸出連接部上輸出。
系統軟體可以許多不同方式進行設計或配置。例如,根據所揭露的實施例,可編寫諸多腔室元件子程序(subroutine)或控制對象來控制執行沉積製程(和其他製程,在一些情況下)所必需的腔室元件的操作。用於此目的的程式或部分程式的範例包括基板定位碼、製程氣體控制碼、壓力控制碼、和加熱器控制碼。
在一些實施例中,控制器650或729為系統的一部分,該系統可為以上描述範例的一部分。如此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的操作。該電子設備可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理條件及/或系統類型,控制器729可程式設計成控制本文揭露製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具及/或裝載鎖)。
廣泛地講,控制器可定義為電子設備,其具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者的諸多積體電路、邏輯、記憶體、及/或軟體。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processors)、定義為特定用途積體電路(ASIC,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,其為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、迴路、以及/或者晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在「雲端」或廠房主機電腦系統的全部、或部分中,其可容許遠端存取晶圓處理。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行程式設計的使用者界面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行執行之處理步驟的每一者指定參數。應理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多的分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上之製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌跡腔室(track chamber)或模組、以及可在半導體晶圓的製造和加工中相關聯的、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體加工工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具界面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。
可察知,複數製程站可包括在多站處理工具環境中,如圖8所示,圖8描繪多站處理工具的實施例的示意圖。處理設備800採用積體電路製造腔室863,其包括複數製造製程站,每一者可用於在特定製程站處對固持在諸如基座的晶圓固持器中的基板執行處理操作。在圖8的實施例中,積體電路製造腔室863顯示為具有四個製程站851、852、853、和854。其他類似的多站處理設備可具有更多或更少的製程站,這取決於實施方式以及例如並行晶圓處理的期望位準、尺寸/空間限制、成本限制等。也在圖8中顯示的是基板處置機器人875,其可在系統控制器890的控制下操作,被配置成將基板從晶圓卡匣(圖8中未示出)從裝載埠880移動到積體電路製造腔室863中,以及移動到製程站 851、852、853、和854其中之一者上。
圖8亦繪示用於控制處理設備800的製程條件和硬體狀態的系統控制器890的實施例。如本文所述,系統控制器890可包括一或更多記憶體裝置、一或更多大容量儲存裝置、以及一或更多處理器。
RF子系統895可產生RF功率並經由射頻輸入埠867將RF功率傳送到積體電路製造腔室863。在特定實施例中,積體電路製造腔室863可包括除射頻輸入埠867之外的輸入埠( 圖8 中未顯示額外的輸入埠)。因此,積體電路製造腔室863可使用8個RF輸入埠。在特定實施例中,積體電路製造腔室165的製程站851~854可各自利用第一和第二輸入埠,其中第一輸入埠可傳送具有第一頻率的訊號,以及其中第二輸入埠可傳送具有第二頻率的訊號。雙頻率的使用可帶來增強的電漿特性。
如上所述,一或更多製程站可包括在多站處理工具中。圖9顯示具有入站裝載鎖902和出站裝載鎖904的多站處理工具900的實施例的示意圖,其中一或兩者可包括遠端電漿源。處於大氣壓力下的機器人906被配置為將基板或晶圓從卡匣經由大氣埠移動到入站裝載鎖902中,該卡匣經由盒908加以裝載。基板由機器人906設置在入站裝載鎖902中的基座912上,大氣埠關閉,以及裝載鎖被抽空。在入站裝載鎖902包括遠端電漿源的情況下,在被引入製程腔室914之前,基板可曝露於裝載鎖中的遠端電漿處理。此外,基板也可在入站裝載鎖902中被加熱,以例如移除水分和吸附的氣體。接下來,打開通往製程腔室914的腔室傳送埠916,以及另一機器人990將基板放置在反應器中,在顯示於處理反應器中的第一站的基座上。雖然圖9中描述的實施例包括裝載鎖,當將理解,在一些實施例中,可提供基板直接進入製程站。在諸多實施例中,當基板由機器人906放置在基座912上時,浸泡氣體被引入站。
所示製程腔室914包括四個製程站,在圖9中所示的實施例中編號為1至4。每一站具有加熱基座(站1顯示於918處)和氣體線路入口。將察知,在一些實施例中,每一製程站可具有不同或複數的目的。例如,在一些實施例中,製程站可在ALD和PEALD製程模式之間切換。額外地或替代地,在一些實施例中,製程腔室914可包括一或更多對匹配的ALD和電漿增強ALD製程站。雖然所描繪的處理腔室914包括四個站,但將察知,根據本揭露內容的處理腔室可具有任何合適數量的站。例如,在一些實施例中,製程腔室可具有五個或更多站,而在其他實施例中,製程腔室可具有三個或更少站。
圖9描繪用於在處理腔室914內傳送基板的晶圓處置系統990的實施例。在一些實施例中,晶圓處置系統990可在諸多製程站之間及/或製程站與裝載鎖之間傳送基板。將察知,可採用任何合適的晶圓處置系統。非限制性範例包括晶圓傳送帶和晶圓處置機器人。圖9還繪示用於控制製程條件和製程工具900的硬體狀態的系統控制器950的實施例。系統控制器950可包括一或更多記憶體裝置956、一或更多大容量儲存裝置954、和一或更多處理器952。處理器952可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進器馬達控制器板等。在一些實施例中,系統控制器950包括用於執行諸如本文描述的操作的機器可讀指令。
在一些實施例中,系統控制器950控制製程工具900的活動。系統控制器950執行儲存在大容量儲存裝置954中、加載到記憶體裝置956中、以及在處理器952上執行的系統控制軟體958。可選地,控制邏輯可硬編碼在系統控制器950中。特定用途積體電路、可程式化邏輯裝置(例如,場可程式化閘極陣列或FPGA)等可用於這些目的。在下方討論中,無論使用「軟體」還是「碼」,都可使用功能相當的硬編碼邏輯來代替。系統控制軟體958可包括用於控制以下者的指令:時間、氣體混合物、氣體流量、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率位準、RF功率位準、基板基座、卡盤及/或基座位置、以及由製程工具900執行的特定製程的其他參數。系統控制軟體958可以任何合適的方式配置。例如,可編寫諸多製程工具元件子程序或控制對象來控制用於執行諸多製程工具製程的製程工具元件的操作。系統控制軟體958可以用任何合適的電腦可讀程式化語言編碼。
結論。儘管為了清楚理解的目的已經對前述實施例進行了一些詳細的描述,但顯而易見的是,在所附專利申請範圍的範圍內可作出某些改變及修改。可在沒有這些特定細節中的一些或全部的情況下實施本文揭露的實施例。在其他情況下,沒有詳細描述已知的製程操作,以避免不必要地模糊所揭露實施例。此外,雖然將結合特定實施例來描述所揭露的實施例,但將察知,特定實施例並不旨在限制所揭露的實施例。應注意,存在許多替代方式來實現本實施例的製程、系統、和設備。因此。本實施例係被認為是說明性而非限制性,且實施例不受限於本文給出的細節。
101~105:階段 200:結構 201:階段 202: 峰部 203~207:階段 208:多晶矽層 210:保形層 212:平滑層 214:介電材料 302~314:方框 402~408:操作 500:結構 501:階段 502:夾點 50~5073:階段 508:保形層 509:階段 510:抑制層 511:階段 513:開口 514:介電材料 600:站 601:輸送系統 602:腔室主體 603:汽化點 605:閥 606:噴淋頭 607:微容積 608:基座 610:加熱器 612:基板 614:RF電源 616:匹配網路 618:蝶形閥 620:閥 700:系統 701:源模組 703:傳送模組 707:模組 709~710:反應器 711~717:站 719:大氣傳送室 721:裝載鎖 729:系統控制器 800:處理設備 851~854:站 875:機器人 880:裝載埠 900:工具 902~904:裝載鎖 906:機器人 908:盒 912:基座 914:腔室 916:傳送埠 918:基座 950:控制器 952:處理器 954:儲存裝置 956:記憶體裝置 958:系統控制軟體 990:機器人
圖1呈現一例示性實施例的操作的流程圖。
圖2呈現一例示性實施例的圖式,以使特徵部的側壁平滑化。
圖3呈現一例示性實施例的操作的流程圖。
圖4呈現原子層沉積製程的操作的流程圖。
圖5呈現一例示性實施例的圖式,以在沒有夾止的情況下填充凹入(reentrancy)以下的特徵部。
圖6~9是用於執行根據揭露實施例的方法的製程腔室的範例的示意圖。
200:結構
201:階段
202:峰部
203:階段
205:階段
206:間隙
207:階段
208:多晶矽層
210:保形層
212:平滑層
214:介電材料

Claims (29)

  1. 一種方法,包括: 提供具有一結構的一基板,該結構具有一間隙; 在該結構的該間隙中沉積一第一介電材料的一保形層;以及 執行第一組一或更多循環,包括: (a)將該基板曝露於包含鹵素物種的電漿,以蝕刻該間隙的一第一部分上的該第一介電材料; (b)在(a)之後,在該間隙中沉積一第二介電材料;以及 在執行該第一組一或更多循環之後,在該間隙中沉積額外的第二介電材料。
  2. 如請求項1的方法,其中,在(a)將該基板曝露於包含鹵素物種的電漿期間,抑制該間隙的一第二部分上的沉積。
  3. 如請求項1的方法,其中,沉積該第二介電材料包括電漿增強原子層沉積(ALD)製程。
  4. 如請求項1的方法,其中,在該間隙中沉積額外的第二介電材料包括執行一或更多循環的下列各者,包括: 將該基板曝露於包含鹵素物種的電漿,以抑制該間隙的一第二部分上的介電材料;以及 將該基板曝露於包含鹵素物種的該電漿之後,在該間隙中沉積第二介電材料。
  5. 如請求項4的方法,其中,該第二部分靠近該間隙的頂部。
  6. 如請求項1的方法,其中,該保形層是含矽膜。
  7. 如請求項1的方法,其中,該保形層是氧化膜。
  8. 如請求項1的方法,其中,該第一介電材料和該第二介電材料是二氧化矽。
  9. 如請求項1~8其中任一者的方法,其中,包含鹵素物種的該電漿係由含氟氣體產生。
  10. 如請求項9的方法,其中,包含鹵素物種的該電漿係由含氮氣體產生。
  11. 如請求項1~8其中任一者的方法,其中,該結構包括不同材料的覆層,以及該間隙保形地被多晶矽層覆蓋。
  12. 如請求項1~8其中任一者的方法,其中,該間隙具有側壁,該側壁具有至少約0.5nm的粗糙度。
  13. 如請求項1~8其中任一者的方法,其中,將該基板曝露於包含鹵素物種的電漿會降低該間隙的一或更多側壁的粗糙度。
  14. 如請求項1~8其中任一者的方法,其中,該電漿具有每個基板至少約250W的功率。
  15. 如請求項1~8其中任一者的方法,其中,該電漿具有每個基板約625W和約1500W之間的功率。
  16. 如請求項1~8其中任一者的方法,其中,將該基板曝露於該電漿的持續時間為至少20秒。
  17. 如請求項1~8其中任一者的方法,其中,該保形層至少約10埃厚。
  18. 如請求項1~8其中任一者的方法,其中,沉積一保形層和執行該第一組一或更多循環是在同一腔室中執行。
  19. 如請求項1~8其中任一者的方法,其中,該間隙包括一凹入特徵部。
  20. 如請求項1~8其中任一者的方法,其中,在該間隙中沉積第二介電材料包括兩個或更多ALD製程循環,其中該兩個或更多ALD製程循環的至少一第一ALD循環係蝕刻該間隙之該第二部分中的介電材料。
  21. 一種方法,包括: 提供具有一間隙的一基板,該間隙包括一凹入結構; 在該間隙中沉積一第一介電材料的一保形層;以及 執行第一組一或更多循環,包括: (a)將該基板曝露於包含鹵素物種的電漿,以抑制在該凹入結構上方之該間隙的一部分上的沉積;以及 (b)在該間隙中沉積一第二介電材料;其中在(b)期間,位於該凹入結構上方之該間隙的該部分被蝕刻。
  22. 如請求項21的方法,其中,步驟(a)執行約0.1與約0.2秒之間。
  23. 如請求項21的方法,其中,該凹入結構具有一第一部分和一第二部分,以及該第一部分的寬度與該第二部分的寬度的比率至少約5:1。
  24. 如請求項21的方法,其中,該凹入結構的一第一部分是該凹入結構的最窄部分,以及其中該第一部分距該間隙的底部的高度小於該間隙之總高度的約50%。
  25. 如請求項21的方法,其中,步驟(b)包括電漿增強原子層沉積(ALD)製程。
  26. 如請求項21的方法,其中,在步驟(b)期間,鹵素物種蝕刻該間隙中的介電材料,但不蝕刻該凹入結構。
  27. 如請求項21的方法,其中,在步驟(a)期間,鹵素物種被吸附在該間隙的該部分上,以及在步驟(b)期間所吸附的該鹵素物種蝕刻該間隙中的介電材料。
  28. 如請求項21的方法,其中,在步驟(b)期間,激發具有約1000W至約3000W之間的功率的電漿。
  29. 如請求項21的方法,還包括執行第二組一或更多循環,以用介電材料填充該間隙,其中該第二組一或更多循環係在用介電材料部分填充該凹入結構之後執行。
TW111148159A 2021-12-17 2022-12-15 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法 TW202345205A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163265631P 2021-12-17 2021-12-17
US63/265,631 2021-12-17

Publications (1)

Publication Number Publication Date
TW202345205A true TW202345205A (zh) 2023-11-16

Family

ID=86773539

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111148159A TW202345205A (zh) 2021-12-17 2022-12-15 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法

Country Status (2)

Country Link
TW (1) TW202345205A (zh)
WO (1) WO2023114898A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018110150A1 (ja) * 2016-12-14 2018-06-21 株式会社アルバック 成膜装置及び成膜方法
KR102271729B1 (ko) * 2017-04-24 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20220162166A (ko) * 2020-04-01 2022-12-07 램 리써치 코포레이션 갭 충진 동안 심 완화 및 통합된 라이너

Also Published As

Publication number Publication date
WO2023114898A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9745658B2 (en) Chamber undercoat preparation method for low temperature ALD films
WO2011130397A2 (en) Improved silicon nitride films and methods
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
TW202346626A (zh) 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
TW202409322A (zh) 橫向間隙填充
TW202342797A (zh) 高壓電漿抑制
TW202418351A (zh) 表面抑制原子層沉積
WO2024102763A1 (en) A robust icefill method to provide void free trench fill for logic and memory applications
TW202405224A (zh) 半導體裝置中電容的降低
WO2024091844A1 (en) Fluorine reduction is silicon-containing films
WO2023164717A1 (en) Surface inhibition atomic layer deposition
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
WO2023076524A1 (en) Atomic layer deposition seam reduction