KR20220162166A - 갭 충진 동안 심 완화 및 통합된 라이너 - Google Patents

갭 충진 동안 심 완화 및 통합된 라이너 Download PDF

Info

Publication number
KR20220162166A
KR20220162166A KR1020227038167A KR20227038167A KR20220162166A KR 20220162166 A KR20220162166 A KR 20220162166A KR 1020227038167 A KR1020227038167 A KR 1020227038167A KR 20227038167 A KR20227038167 A KR 20227038167A KR 20220162166 A KR20220162166 A KR 20220162166A
Authority
KR
South Korea
Prior art keywords
plasma
gap
protective liner
deposition
depositing
Prior art date
Application number
KR1020227038167A
Other languages
English (en)
Inventor
더스틴 재커리 오스틴
이안 존 커틴
조셉 알. 아벨
바트 제이. 반 슈라벤디크
세샤세이 바라다라잔
아드리엔 라보에
제레미 데이비드 필즈
풀킷 아가월
시바 샤란 반다리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220162166A publication Critical patent/KR20220162166A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유전체 재료로 갭을 충진하는 (fill) 방법들은 증착 동안 억제제 플라즈마를 사용하는 것을 포함한다. 억제제 플라즈마는 증착된 막의 핵생성 (nucleation) 배리어를 증가시킨다. 억제제 플라즈마가 피처 내의 재료와 상호작용할 때, 피처의 하단부의 재료는 피처의 상단 부분 또는 필드에 보다 가깝게 위치된 재료보다 보다 적은 플라즈마 처리를 받는다. 이어서 피처의 상단부에서의 증착은 선택적으로 억제되고, 피처의 하부 부분들에서의 증착은 보다 적은 억제로 또는 억제되지 않고 진행된다. 그 결과, 보텀-업 (bottom-up) 충진이 향상되고, 이는 심 (seam) 효과를 완화하고 (mitigate) 보이드 (void) 형성을 방지하는 경사진 프로파일을 생성할 수 있다. 일부 실시 예들에서, 피처의 상단부의 아래에 놓인 재료는 통합된 라이너 (liner) 를 사용하여 보호된다. 일부 실시 예들에서, 수소 화학 물질이 심 형성을 감소시키기 위해 갭 충진 동안 사용된다.

Description

갭 충진 동안 심 완화 및 통합된 라이너
많은 반도체 디바이스 제조 프로세스들은 실리콘 옥사이드 (oxide) 와 같은 유전체 막들을 형성하는 것을 수반한다. 고품질 막을 증착하는 것은 갭들 내에 막들을 증착할 때 특히 어려울 수 있다. 과제들은 막들 내 보이드들 (voids) 및/또는 심들 (seams) 의 형성을 포함할 수 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 (admit) 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
본 개시의 일 양태는 충진될 (fill) 갭을 포함하는 구조체를 갖는 기판을 제공하는 단계; 보호 라이너 (protective liner) 가 갭 내로 부분적으로만 연장하도록 구조체의 상부 부분에 선택적으로 증착하는 단계; 및 (a) 갭의 일부 상의 증착을 억제하도록 할로겐 종을 포함하는 플라즈마에 기판을 노출시키는 단계; (b) 단계 (a) 후에, 갭 내에 유전체 재료를 증착하는 단계의, 하나 이상의 사이클들을 수행하는 단계를 포함한다.
일부 실시 예들에서, 보호 라이너는 실리콘-함유 막이다.
일부 실시 예들에서, 보호 라이너는 옥사이드 (oxide), 나이트라이드 (nitride), 또는 카바이드 (carbide) 이다.
일부 실시 예들에서, 보호 라이너는 단계 (a) 동안 에칭된다.
일부 실시 예들에서, 단계 (a) 동안 상기 플라즈마는 질소 트리플루오라이드 (nitrogen trifluoride) (NF3) 로부터 생성되고 보호 라이너는 실리콘 나이트라이드 막이다.
일부 실시 예들에서, 보호 라이너는 10 내지 999 옹스트롬 (Å) 두께이다.
일부 실시 예들에서, 보호 라이너를 증착하는 단계 및 하나 이상의 사이클들을 수행하는 단계는 동일한 챔버에서 수행된다.
일부 실시 예들에서, 구조체는 3D NAND 구조체이고, 갭은 복수의 쌍들의 옥사이드 층 및 나이트라이드 층을 각각 포함하고 폴리실리콘 층에 의해 캡핑된 2 개의 스택들에 의해 형성되고 보호 라이너는 상기 폴리실리콘 층을 보호한다.
일부 실시 예들에서, 보호 라이너를 증착하는 것은 플라즈마 강화 원자 층 증착 (atomic layer deposition; ALD) 프로세스를 포함한다.
일부 이러한 실시 예들에서, ALD 프로세스 동안 플라즈마 전력은 단계 (a) 동안의 플라즈마 전력보다 보다 낮다.
일부 실시 예들에서, 보호 라이너를 증착하는 것은 플라즈마 강화 화학 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스를 포함한다.
본 개시의 또 다른 양태는 충진될 갭을 포함하는 구조체를 갖는 기판을 제공하는 단계로서, 갭은 갭 내로 부분적으로만 연장하는 보호 라이너로 라이닝되는, 기판 제공 단계; 및 (a) 갭의 일부 상의 증착을 억제하도록 할로겐 종을 포함하는 플라즈마에 기판을 노출하는 단계; (b) 단계 (a) 후에, 갭 내에 유전체 재료를 증착하는 단계의, 하나 이상의 사이클들을 수행하는 단계를 포함한다.
본 개시의 또 다른 양태는, 충진될 갭을 포함하는 구조체를 제공하는 단계; 하나 이상의 억제 블록들을 수행하는 단계를 포함하고, 억제 블록 각각은, 하나 이상의 성장 사이클들로서, 성장 사이클 각각은, 갭을 할로겐-함유 억제 플라즈마에 노출시키는 단계 및 원자 층 증착에 의해 갭 내에 유전체 재료를 증착하는 단계를 포함하는, 하나 이상의 성장 사이클들; 하나 이상의 성장 사이클들 후에, 수소-함유 가스로부터 생성된 플라즈마에 구조체를 노출하는 단계; 수소-함유 가스로부터 생성된 플라즈마에 구조체를 노출시킨 후, 구조체를 산화 플라즈마에 노출시키는 단계를 포함한다.
본 개시의 이들 및 다른 양태들은 도면들을 참조하여 이하에 더 논의된다.
도 1은 개시된 실시 예들에 따른 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 2a 및 도 2b는 개시된 실시 예들에 따른 갭들을 충진하는 일 예의 예시들을 도시한다.
도 3은 개시된 실시 예들에 따라 사용될 수도 있는 프로세스 시퀀스의 일 예를 도시한다.
도 4a 및 도 4b는 개시된 실시 예들에 따른 방법에 대한 동작들을 도시하는 프로세스 흐름도들이다.
도 5는 개시된 실시 예들에 따라 사용될 수도 있는 프로세스 시퀀스의 일 예를 도시한다.
도 6은 개시된 실시 예들에 따른 방법에 대한 동작들을 도시하는 프로세스 흐름도이다.
도 7은 개시된 실시 예들을 수행하기 위한 예시적인 프로세스 스테이션의 개략도이다.
도 8은 개시된 실시 예들을 수행하기 위한 예시적인 프로세스 툴의 개략도이다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시 예들은 이들 구체적인 상세들 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
반도체 제조 프로세스들은 종종 피처들을 충진하기 위해 화학적 기상 증착 (chemical vapor deposition; CVD) 및/또는 원자 층 증착 (atomic layer deposition; ALD) 방법들을 사용하는 유전체 갭 충진을 포함한다. 실리콘 옥사이드 (oxide) 와 같은 실리콘-함유 막들을 포함하지만 이로 제한되지 않는 유전체 재료로 피처들을 충진하는 방법들, 및 관련된 시스템들 및 장치들이 본 명세서에 기술된다. 본 명세서에 기술된 방법들은 기판 내에 형성된 수직으로 배향된 (orient) 피처들을 충진하도록 사용될 수 있다. 이러한 피처들은 갭들, 리세스된 (recessed) 피처들, 네거티브 피처들, 충진되지 않은 피처들, 또는 단순히 피처들로 지칭될 수도 있다. 이러한 피처들을 충진하는 것은 갭 충진 (gapfill) 으로 지칭될 수도 있다. 기판 내에 형성된 피처들은 좁은 개구부들 및/또는 재차 들어간 (re-entrant) 개구부들, 피처 내의 협착부들 (constrictions), 및 고 종횡비들 중 하나 이상으로 특징화될 수 있다. 일부 구현 예들에서, 피처는 적어도 약 2:1, 적어도 약 4:1, 적어도 약 6:1, 적어도 약 20:1, 적어도 약 100:1, 또는 보다 큰 종횡비를 가질 수도 있다. 기판은 유전체, 도전성 또는 반도전성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼인, 실리콘 웨이퍼일 수도 있다.
본 개시의 일 양태는 보이드-프리 (void-free) 하단부 갭 충진을 용이하게 하는 갭들에서 유전체 재료의 ALD (atomic layer deposition) 동안 억제제 플라즈마를 사용하는 방법에 관한 것이다. 억제제 플라즈마는 패시베이션된 (passivate) 표면을 생성하고, 증착된 ALD 막의 핵생성 (nucleation) 배리어를 증가시킨다. 억제제 플라즈마가 피처 내의 재료와 상호작용할 때, 피처의 하단부의 재료는 기하학적 쉐도잉 효과들 때문에 피처의 상단 부분 또는 필드에 보다 가깝게 위치된 재료보다 보다 적은 플라즈마 처리를 받는다. 그 결과, 피처의 상단부에서의 증착은 선택적으로 억제되고, 피처의 하부 부분들에서의 증착은 보다 적은 억제로 또는 억제되지 않고 진행된다. 그 결과, 보텀-업 (bottom-up) 충진이 향상되고, 이는 심 (seam) 효과를 완화하고 (mitigate) 보이드 (void) 형성을 방지하는 보다 유리한 경사진 프로파일을 생성한다. 할로겐-함유 플라즈마들은 효과적인 억제 플라즈마들일 수 있다. 예를 들어, 일부 적용 예들에 대해, 질소 트리플루오라이드 (nitrogen trifluoride) (NF3) 로부터 생성된 플라즈마는 분자 질소 (N2) 로부터 생성된 플라즈마와 비교하여 실질적으로 감소된 시간에 억제 효과를 제공할 수도 있다. 그러나, 할로겐-함유 플라즈마들은 또한 에천트 (etchant) 로서 작용할 수도 있다. 예를 들어, 억제를 수행하는 동안, 저 에너지로 표면에 부딪치는 (hit) 원자는 억제제로서 작용할 수 있는 한편 고 에너지 원자는 재료를 제거할 수 있다. 플라즈마가 에너지 분포를 갖기 때문에, 플라즈마의 일부 컴포넌트는 피처의 상단부 근방에 부딪쳐 에칭할 수도 있다. 에칭된 모든 유전체는 증착 동안 대체된다. 아래에 놓인 재료 (예를 들어, 폴리실리콘 (polysilicon; poly Si), 금속 옥사이드, 등) 가 제거되면, 이는 대체되지 않고 디바이스 성능에 영향을 줄 수 있다. 통합된 라이너 (liner) 를 증착함으로써 아래에 놓인 재료를 보호하는 방법들이 본 명세서에 기술된다.
도 1은 아래에 놓인 층들을 손상시키지 않고 유전체 재료로 갭들을 충진하는 방법을 예시하는 프로세스 흐름도이다. 방법은 충진될 하나 이상의 갭들을 갖는 구조체를 제공하는 것으로 시작된다. 블록 (101). 구조체는 기판 상에 증착된 재료의 하나 이상의 층들에 의해 형성될 수도 있다. 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 또는 다른 반도체 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 방법들은 또한 MEMS (microelectromechanical) 디바이스들의 제조를 포함하여, 유리, 플라스틱, 등과 같은 다른 기판들을 갭 충진하기 위해 적용될 수도 있다.
구조체들의 예들은 3D NAND 구조체들, DRAM 구조체들, 및 STI (shallow trench isolation) 구조체들을 포함한다. 구조체들은 에칭에 민감한 (susceptible) 재료에 의해 형성된 갭들의 측벽들을 갖는 갭들을 포함한다. 일 예에서, 3D NAND 구조체는 폴리 Si 층으로 커버된 옥사이드-나이트라이드-옥사이드-나이트라이드 (oxide-nitride-oxide-nitride; ONON) 스택들을 포함한다. 보호되지 않은 채로 방치하면 (left), 폴리 Si 층은 에칭되기 쉽다 (susceptible). 측벽 재료들의 다른 예들은 옥사이드들, 금속들, 및 반도체 재료들을 포함한다. 본 명세서에 기술된 방법들은 특정한 부류의 측벽 재료로 제한되지 않고 임의의 민감한 재료를 보호할 수 있다. 구조체는 보호 라이너 (protective liner) 의 증착을 위해 증착 챔버에 제공될 수도 있다. 증착 챔버는 후속하는 유전체 증착과 동일한 챔버이거나 상이한 챔버일 수도 있다.
도 2a는 본 명세서에 기술된 갭 충진 방법의 다양한 스테이지들 동안 구조체 (200) 의 일 예를 도시한다. (201) 에서, 유전체 재료로 충진될 갭들 (206) 을 갖는 구조체 (200) 가 도시된다. 도 2a의 예에서, 갭들 (206) 은 갭들 (206) 의 측벽들을 형성하는, 폴리 Si 층 (208) 으로 커버된 ONON 스택들 사이에 형성된다.
도 1을 다시 참조하면, 보호 라이너가 갭들의 상단부에 선택적으로 증착된다. 블록 (103). 보호 라이너는 후속하는 억제 플라즈마 동안 원치 않은 에칭으로부터 아래에 놓인 층을 보호하는 층이다. 이는 억제 동안 에칭이 발생하는 갭들의 상단부에 선택적으로 증착된다. 이러한 방식으로, 이는 아래에 놓인 층을 에칭으로부터 보호하고 억제 동작들 동안 제거된다. 일부 실시 예들에서, 갭 깊이에 50 %, 40 %, 30 %, 20 %, 또는 10 % 이하로 증착될 수도 있다. 대안적인 실시 예들에서, 갭을 라이닝하는 컨포멀한 (conformal) 층을 포함하여, 갭 내로 보다 깊게 증착될 수 있다. 이러한 실시 예들에서, 보호 라이너의 적어도 일부는 충진된 갭들 내에 남아 있을 수도 있다.
보호 라이너는 억제 플라즈마에 대해 상대적으로 에칭 내성이 있는 재료로 형성될 수도 있다. 보호 라이너의 예들은 (실리콘 옥시나이트라이드들 (oxynitrides), 실리콘 옥시카바이드들 (oxycarbides), 실리콘 카본 나이트라이드들, 등을 포함하는) 실리콘 나이트라이드들 (nitrides), 실리콘 카바이드들 (carbides), 및 실리콘 옥사이드들을 포함한다. 억제제 가스와 양립 가능하고 아래에 놓인 막과 비교하여 억제제에서 높은 선택도 (낮은 에칭 레이트) 를 갖는 임의의 재료가 사용될 수도 있다. 비 실리콘-함유 나이트라이드들, 카바이드들, 및 옥사이드들이 일부 실시 예들에서 증착될 수도 있다. 예들은 티타늄 옥사이드, 지르코늄 옥사이드, 주석 옥사이드, 및 하프늄 옥사이드를 포함한다. 보호용 막 재료는 또한 완전히 제거되지 않은 실시 예들에서 갭 충진 재료와의 양립성을 위해 선택될 수도 있다. 일부 실시 예들에서, 카바이드는 나이트라이드들 및 옥사이드들과 비교하여 할로겐-기반 플라즈마들에서 상대적으로 보다 높은 에칭 내성을 가질 수도 있기 때문에 사용될 수도 있다.
많은 실시 예들에서, 재료는 희생적이고 (sacrificial) 억제 동안 제거되고 그래서 특별히 제한되지 않는다. 보호 라이너의 깊이는 보호 라이너의 부재 시 억제 플라즈마가 아래에 놓인 층을 손상시키는 깊이를 결정함으로써 결정된다. 이는 실험적으로, 모델링에 의해, 또는 이들의 조합에 의해 이루어질 수도 있다. 보호 라이너는 억제 플라즈마들을 통해 아래에 놓인 층을 보호하지만 마지막 억제 플라즈마의 종료에 의해 제거될 두께로 증착될 수도 있다. 이는 실험적으로 그리고/또는 공지된 에칭 레이트들로부터 결정될 수 있다. 예시적인 두께들은 수십 내지 수백 옹스트롬 (Å) 의 범위일 수도 있다. 일부 실시 예들에서, 일부 양의 보호 라이너는 갭 충진 후에 남을 수도 있다. 이러한 경우들에서, 보호 라이너가 남아 있는 갭의 부분을 충진하기 전에 별개의 제거 프로세스가 구현될 수도 있다. 일부 실시 예들에서, 보호 라이너는 디바이스 내에 남을 수도 있다.
ALD (atomic layer deposition) 에 의한 보호 라이너의 증착은 갭의 상단부로부터 가장 깊은 지점으로 두께가 점점 테이퍼링되게 (taper down) 할 수 있다. 테이퍼링된 보호 라이너가 아래에 놓인 층을 에칭하지 않고 제거될 수도 있도록 에칭 레이트들은 깊이가 증가함에 따라 감소한다. (201) 에서, 갭들 (206) 및 폴리 Si 층 (208) 을 포함하는 구조체 (200) 가 도시된다. 도 2a의 (203) 에서, 보호 라이너 (210) 가 폴리 Si 층 (208) 상에 도시된다. 보호 라이너는 측벽을 따라 갭 내로 부분적으로만 연장하도록 구조체의 상부 부분에 선택적으로 증착된다. 상기 논의된 바와 같이, 보호 라이너 (210) 의 두께는 테이퍼링될 수도 있고, 깊이가 증가함에 따라 보다 얇아진다 (미도시).
보호 라이너의 증착은 도 4a 및 도 4b에 대해 이하에 더 기술된다. 도 1을 다시 참조하면, 일단 보호 라이너가 증착되면, 유전체 재료는 억제 플라즈마를 사용하여 갭들 내에 증착된다. 블록 (105). 이하에 더 논의된 바와 같이, 이는 유전체 막의 ALD가 이어지는 억제 플라즈마의 사이클들을 수반할 수 있다. 보호 라이너는 억제 플라즈마 동안 갭의 상단부의 측벽들을 보호한다. 대안적인 실시 예들에서, 보호 라이너는 구조체가 에칭 컴포넌트를 가질 수도 있는 플라즈마에 노출되는 다른 프로세스들에서 사용될 수도 있다. 일부 실시 예들에서, 갭의 충진 동안 일부 지점에서 보호 라이너를 증착하는 것이 유용할 수도 있다. 예를 들어, 보호 재료가 에칭되면, 보다 많은 보호 재료가 재-증착될 수도 있다. 다른 실시 예들에서, 갭 충진은 또 다른 보호 라이너 증착 없이 진행될 수도 있다.
도 2a에서, (205) 에서, 갭들은 유전체 재료 (212) 로 부분적으로 충진된다. 이 단계에서, 보호 라이너 (210) 는 억제 플라즈마에 의해 부분적으로 에칭되었지만, (203) 에서보다 보다 얇지만 여전히 존재한다. 갭들 (206) 은 충진 라인 위의 폴리 Si 측벽들 상에 증착이 상대적으로 적거나 없도록 보텀-업 방식으로 유전체 재료 (212) 로 충진된다. 이는 억제 플라즈마 때문이다. (207) 에서, 갭들은 보호 라이너 (210) 가 제거되고 폴리 Si 층 (208) 은 온전한 상태로 유전체 재료 (212) 로 충진된다. 다양한 실시 예들에 따라, 일부 양의 보호 라이너가 (예를 들어, 대략 수 또는 수십 ㎚) 존재할 수도 있고 그리고/또는 아래에 놓인 층의 일부 에칭이 발생할 수도 있다.
화학적 기상 증착 (chemical vapor deposition; CVD) 에 의한 보호 라이너 증착은 구조체들의 상단부들을 보호하는데 유용할 수도 있다. 도 2b에서, (251) 에서, ONON 스택의 상단부에 폴리 Si (218) 을 갖는 3D NAND 구조체 (250) 의 일 예가 도시된다. 폴리 Si (218) 는 갭 (206) 을 충진하도록 사용된 억제 플라즈마 동안 영역 (222) 에서 특히 취약하다 (vulnerable). 폴리 Si (218) 의 상단부 및 다른 노출된 영역들은 또한 취약할 수도 있다. (253) 에서, 보호 라이너 (260) 가 도시된다. 도 2a의 보호 라이너와 비교하여, 도 2b의 보호 라이너 (260) 는 폴리 Si 아래의 측벽들 상에 증착이 상당히 보다 적거나 전혀 없는 영역 (222) 을 포함하는 폴리 Si (218) 를 보호하도록 구조체 (250) 의 상단부에 훨씬 보다 덜 컨포멀하고 선택적으로 증착된다. 이하에 더 기술된 바와 같이, 플라즈마 강화 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 과 같은 CVD 방법에 의해 증착될 수도 있다. 일부 실시 예들에서, 비-컨포멀한 보호 라이너 (260) 의 벌크는 갭 (206) 의 약 10 % 또는 20 % 미만으로 연장할 수도 있다. 일 예에서, 3D NAND 구조체의 상단부에서 폴리 Si는 약 100 내지 500 ㎚, 또는 100 내지 300 ㎚, 또는 약 200 ㎚일 수도 있다. 비-컨포멀한 보호 라이너 (260) 의 벌크는 이를 보호하기 위해 약 100 내지 500 ㎚, 또는 100 내지 300 ㎚, 또는 약 200 ㎚로 연장할 수도 있다.
도 3은 개시된 실시 예들에 따라 사용될 수도 있는 프로세스 시퀀스의 일 예를 도시한다. 도 3의 프로세스 시퀀스는 억제 플라즈마에 대한 노출 전에 보호 라이너의 증착을 포함한다. 다른 동작들 (예를 들어, 소킹 (soak), 패시베이션) 은 특정한 실시 예들에서 생략될 수도 있고 동작들이 특정한 실시 예들에서 부가될 수도 있다. 도 3의 예시적인 프로세스 시퀀스에서, 하나 이상의 웨이퍼들은 갭 충진을 겪는다. 프로세스는 증착 챔버에 제공된 후 소킹으로 시작될 수도 있다. 이는, 예를 들어, 입자들 또는 다른 전처리를 제거하는데 유용할 수 있다. 이어서, 보호 라이너의 ALD 증착의 n1 사이클들이 수행된다. 보호 라이너 ALD의 추가 상세들은 이하에 논의된다. 보호 라이너가 증착된 후, n 개의 억제 블록들이 제 1 억제 블록 (n = 1) 의 동작들로 수행된다. 제 1 동작은 표면 처리인 억제 플라즈마이다. 상기 논의된 바와 같이, 플라즈마는 F-, Cl-, I-, Br-, 불소 (fluorine) 라디칼들, 등과 같은 라디칼 종 및 음이온을 포함하는 할로겐 종을 포함할 수도 있다. 구조를 손상시킬 수 있는 임의의 플라즈마로부터 보호를 제공하는 보호 라이너와 함께 다른 억제 플라즈마들이 사용될 수도 있다. 예를 들어, 분자 질소 (N2), 분자 수소 (H2), 암모니아 (NH3), 아민들 (amines), 디올들 (diols), 디아민들 (diamines), 아미노알코올들 (aminoalcohols), 티올들 (thiols) 또는 이들의 조합들로부터 생성된 플라즈마들이 억제 플라즈마들로서 사용될 수도 있다. 온도, 무선 주파수 (radio frequency; RF) 전력, 및 아래에 놓인 재료의 감도와 같은 조건들이 이들과 함께 플라즈마 손상이 발생할 수도 있도록 한다면, 보호 라이너가 사용될 수도 있다.
대안적인 실시 예들에서, 도 2b를 참조하여 기술된 바와 같은 비-컨포멀한 라이너가 CVD를 사용하여 증착될 수도 있다. 이러한 실시 예들에서, ALD 라이너는 CVD 라이너로 대체된다. 이하에 더 기술된 바와 같이, CVD 프로세스는 순환적 (cyclic) 프로세스가 아니다.
억제제 플라즈마가 피처 내의 재료와 상호작용할 때, 피처의 하단부의 재료는 기하학적 쉐도잉 효과 때문에 피처의 상단 부분 또는 필드에 보다 가깝게 위치된 재료보다 보다 적은 플라즈마 처리를 받는다. 그 결과, 피처의 상단부에서의 증착은 선택적으로 억제되고, 피처의 하부 부분들에서의 증착은 보다 적은 억제로 또는 억제되지 않고 진행된다. 도 3에서, 억제 블록의 다음 동작은 ALD 충진의 n2 사이클들이다. 유전체 재료는 피처의 하단부에 선택적으로 증착된다. 억제 플라즈마 및 ALD 충진의 n2 사이클들은 함께 성장 사이클을 구성한다. 이는 억제 효과가 감소할 (diminish) 때 간헐적인 (intermittent) 억제 동작들로 피처를 계속 충진하도록 n3 회 반복될 수 있다. 억제 블록의 성장 사이클들의 수는, 즉, 피처의 하단부로부터 상단부로 하나 이상의 지점들에서 좁아진다면, 피처의 재차 들어감 (re-entrant) 에 종속될 수도 있다. 보다 많은 재차 들어감을 나타내는 피처들은 보다 긴 억제 시간 또는 복수의 억제 블록들을 사용할 수도 있다. 도 3의 예에서, 억제 블록은 패시베이션 동작으로 종료된다. 이는 잔류 억제제를 제거하고 또한 증착된 막을 치밀화할 (densify) 수 있는 표면 처리이다. 일부 실시 예들에서, 산소 플라즈마가 사용된다.
성장 사이클 및 패시베이션을 포함하는 하나 이상의 부가적인 억제 블록들이 총 n 개의 억제 블록들에 대해 수행될 수도 있다. 억제 블록들의 수는 피처를 충진하기 위해 얼마나 많은 재료가 사용되는지에 따라 결정된다. 억제 플라즈마, ALD, 및 패시베이션 조건들은 피처를 충진하기 위해 억제 블록으로부터 억제 블록으로 변경될 수도 있다. 예를 들어, 억제 플라즈마 지속 기간은 피처의 하단부 1/4이 충진될 때까지 20 초이고 (억제 블록 1), 이어서 구조체의 중간 50 %에 대해 5 초로 변화되는 (억제 블록 2), 등일 수도 있다.
피처가 거의 충진될 때, 억제는 더 이상 필요하지 않을 수도 있고, 그리고 충진은 ALD 충진의 n4 사이클들로 완료될 수 있다. 일부 실시 예들에서, 유전체의 캡 또는 오버버든 (overburden) 층이 증착될 수도 있다. PECVD (plasma enhanced chemical vapor deposition) 이 고속 증착을 위해 이 단계에서 사용될 수도 있다.
일부 실시 예들에서, 보호 라이너는 도 3의 프로세스의 적절한 위치에서 상기 기술된 바와 같이 ALD 또는 CVD에 의해 1 회 이상 재-증착될 수도 있다.
ALD는 재료의 박층들을 순차적으로 (sequentially) 증착하는 기법이다. ALD 프로세스들은 사이클들에서 층 단위 (layer-by-layer basis) 로 막들을 증착하도록 표면-매개된 (surface-mediated) 증착 반응들을 사용한다. ALD "사이클"의 개념은 본 명세서의 다양한 실시 예들의 논의와 관련된다. 일반적으로 사이클은 표면 증착 반응을 1 회 수행하기 위해 사용된 동작들의 최소 세트이다. 일 사이클의 결과는 기판 표면 상에 적어도 부분적인 실리콘-함유 막 층의 생성이다. 통상적으로, ALD 사이클은 기판 표면에 적어도 하나의 반응 물질을 전달하고 흡착시키는 동작, 및 이어서 부분적인 막 층을 형성하도록 흡착된 반응 물질을 하나 이상의 반응 물질과 반응시키는 동작을 포함한다. 사이클은 반응 물질들 또는 부산물들 중 하나를 스윕핑하고 (sweep) 그리고/또는 증착될 때 부분적인 막을 처리하는 것과 같은 특정한 보조 동작들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유한 시퀀스의 일 예를 포함한다.
일 예로서, ALD 사이클은 다음 동작들: (i) 전구체의 전달/흡착, (ii) 챔버로부터 전구체의 퍼지 (purge), (iii) 제 2 반응 물질의 전달 및 선택 가능한 (optional) 플라즈마 점화 (ignition), 및 (iv) 챔버로부터 부산물들의 퍼지를 포함할 수도 있다. 기판의 표면 상에 막을 형성하기 위해 흡착된 전구체와 제 2 반응 물질 사이의 반응은 막 조성 및 특성들, 예컨대 불균일성 (nonuniformity), 응력, 습식 (wet) 에칭 레이트, 건식 (dry) 에칭 레이트, 전기적 특성들 (예를 들어, 파괴 전압 (breakdown voltage) 및 누설 전류), 등에 영향을 준다.
ALD 프로세스의 일 예에서, 표면 활성 사이트들 (sites) 의 집단 (population) 을 포함하는 기판 표면은 기판을 하우징하는 챔버에 제공된 일 도즈 (dose) 의, 실리콘-함유 전구체와 같은 제 1 전구체의 가스상 (gas phase) 분포에 노출된다. 제 1 전구체의 물리 흡착된 (physisorbed) 분자들 및/또는 화학 흡착된 (chemisorbed) 종을 포함하는, 이 제 1 전구체의 분자들은 기판 표면 상으로 흡착된다. 본 명세서에 기술된 바와 같이 화합물이 기판 표면 상에 흡착될 때, 흡착된 층은 화합물뿐만 아니라 화합물의 유도체들을 포함할 수도 있다. 예를 들어, 실리콘-함유 전구체의 흡착된 층은 실리콘-함유 전구체뿐만 아니라 실리콘-함유 전구체의 유도체들도 포함할 수도 있다. 제 1 전구체 도즈 후, 대부분 또는 흡착된 종만이 남도록 가스상으로 남아 있는 제 1 전구체의 대부분 또는 전부를 제거하도록 이어서 챔버가 배기된다 (evacuated). 일부 구현 예들에서, 챔버는 완전히 배기되지 않을 수도 있다. 예를 들어, 가스상의 제 1 전구체의 분압이 반응을 완화시키기에 충분히 낮도록 반응기는 배기될 수도 있다. 산소-함유 가스 또는 질소-함유 가스와 같은 제 2 반응 물질은, 이들 분자들 중 일부가 표면 상에 흡착된 제 1 전구체와 반응하도록 챔버로 도입된다. 일부 프로세스들에서, 제 2 반응 물질은 흡착된 제 1 전구체와 즉시 반응한다. 다른 실시 예들에서, 제 2 반응 물질은 플라즈마와 같은 활성화의 소스 (source) 가 일시적으로 인가되어야만 반응한다. 이어서 챔버는 결합되지 않은 (unbound) 제 2 반응 물질 분자들을 제거하기 위해 다시 배기될 수도 있다. 상기 기술된 바와 같이, 일부 실시 예들에서 챔버는 완전히 배기되지 않을 수도 있다. 막 두께를 구축하도록 부가적인 ALD 사이클들이 사용될 수도 있다.
도 4a는 보호 라이너를 증착하기 위한 동작 (103) 의 일부로서 또는 도 3에 도시된 임의의 다른 ALD 동작들에 대해 구현될 수도 있는 단일 플라즈마 강화 ALD 사이클에 대한 프로세스 흐름도를 제공한다. 동작 (402) 에서, 기판은 피처의 표면 상에 전구체를 흡착시키도록, 실리콘-함유 전구체에 노출된다. 이 동작은 자기-제한적일 (self-limiting) 수도 있다. 일부 실시 예들에서, 전구체는 피처의 표면 상의 모든 활성 사이트들보다 보다 적게 흡착한다. 동작 (404) 에서, 프로세스 챔버는 모든 흡착되지 않은 실리콘-함유 전구체들을 제거하도록 선택 가능하게 퍼지된다. 동작 (406) 에서, 기판은 공-반응 물질 (co-reactant) 로부터 생성된 플라즈마에 노출된다. 예들은, 실리콘 옥사이드 층 또는 실리콘 옥시나이트라이드 층을 형성하기 위한 O2 및/또는 N2O, 실리콘 나이트라이드 층을 형성하기 위한 N2 또는 NH3, 실리콘 카바이드 층을 생성하기 위한 메탄 (CH4) 등을 포함한다. 동작 (408) 에서, 프로세스 챔버는 실리콘-함유 전구체와 산화제 사이의 반응으로부터 부산물들을 제거하도록 선택 가능하게 퍼지된다. 동작 (402) 내지 동작 (408) 은 피처 내에 목표된 두께로 실리콘-함유 층을 증착하기 위해 다수의 사이클들 동안 반복된다.
본 명세서에 기술된 프로세스들은 특정한 반응 메커니즘으로 제한되지 않는다는 것을 주의해야 한다. 따라서, 도 3과 관련하여 기술된 프로세스는 엄격하게 자기-제한적이지 않은 것들을 포함하여, 실리콘-함유 반응 물질들 및 변환 플라즈마들에 대한 순차적인 노출들을 사용하는 모든 증착 프로세스들을 포함한다. 프로세스는 플라즈마를 생성하도록 사용된 하나 이상의 가스들이 간헐적인 플라즈마 점화들과 함께 프로세스 전반에 걸쳐 연속적으로 흐르는 시퀀스들을 포함한다.
실리콘-함유 보호 라이너를 증착하기 위해, 하나 이상의 실리콘-함유 전구체들이 사용될 수도 있다. 개시된 실시 예들에 따라 사용하기에 적합한 실리콘-함유 전구체들은 n > 0인, 폴리실란들 (polysilanes) (H3Si-(SiH2)n-SiH3) 을 포함한다. 실란들의 예들은 실란 (silane) (SiH4), 디실란 (disilane) (Si2H6), 및 메틸실란 (methylsilane), 에틸실란 (ethylsilane), 이소프로필실란 (isopropylsilane), t-부틸실란 (t-butylsilane), 디메틸실란 (dimethylsilane), 디에틸실란 (diethylsilane), 디-t-부틸실란 (di-t-butylsilane), 알릴실란 (allylsilane), sec-부틸실란 (sec-butylsilane), 덱실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란 (t-butyldisilane), 디-t-부틸디실란 (di-t-butyldisilane), 등과 같은 유기실란들 (organosilanes) 이다.
할로실란은 적어도 하나의 할로겐 기 (halogen group) 를 포함하고, 수소 기들 및/또는 탄소 기들을 포함할 수도 있거나 포함하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들 (iodosilanes), 브로모실란들 (bromosilanes), 클로로실란들 (chlorosilanes) 및 플루오로실란들 (fluorosilanes) 이다. 특정한 클로로실란들은 테트라클로로실란 (tetrachlorosilane), 트리클로로실란 (trichlorosilane), 디클로로실란 (dichlorosilane), 모노클로로실란 (monochlorosilane), 클로로아릴실란 (chloroallylsilane), 클로로메틸실란 (chloromethylsilane), 디클로로메틸실란 (dichloromethylsilane), 클로로디메틸실란 (chlorodimethylsilane), 클로로에틸실란 (chloroethylsilane), t-부틸클로로실란 (t-butylchlorosilane), 디-t-부틸클로로실란 (di-t-butylchlorosilane), 클로로아이소프로필실란 (chloroisopropylsilane), 클로로-sec-부틸실란 (chloro-sec-butylsilane), t-부틸디메틸클로로실란 (t-butyldimethylchlorosilane), 덱실디메틸클로로실란 (thexyldimethylchlorosilane), 등이다.
아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 포함할 수도 있다. 아미노실란들의 예들은 모노-아미노실란들 (mono-aminosilanes), 디-아미노실란들 (di-aminosilanes), 트리-아미노실란들 (tri-aminosilanes) 및 테트라-아미노실란들 (tetra-aminosilanes) (각각 H3Si(NH2), H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-아미노실란들, 디-아미노실란들, 트리-아미노실란들 및 테트라-아미노실란들, 예를 들어, t-부틸아미노실란 (t-butylaminosilane), 메틸아미노실란 (methylaminosilane), tert-부틸실란아민 (tert-butylsilanamine), 비스(tert-부틸아미노)실란 (bis(tert-butylamino)silane) (SiH
Figure pct00001
(NHC(CH3)3) (BTBAS)), tert-부틸실릴카바메이트 (tert-butyl silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가 예는 트리실릴아민 (trisilylamine) (N(SiH3)) 이다. 일부 실시 예들에서, 중심 Si 원자에 부착된 2 개 이상의 아민 기들을 갖는 아미노실란이 사용될 수도 있다. 이들은 단지 단일 아민 기가 부착된 아미노실란들보다 보다 적은 손상을 발생시킬 수도 있다.
실리콘-함유 전구체들의 추가 예들은, 트리메틸실란 (3MS); 에틸실란; 부타실란들 (butasilanes); 펜타실란들 (pentasilanes); 옥타실란들 (octasilanes); 헵타실란 (heptasilane); 헥사실란 (hexasilane); 사이클로부타실란 (cyclobutasilane); 사이클로헵타실란 (cycloheptasilane); 사이클로헥사실란 (cyclohexasilane); 사이클로옥타실란 (cyclooctasilane); 사이클로펜타실란 (cyclopentasilane); 1,4-디옥사-2,3,5,6-테트라실라사이클로헥산 (1,4-dioxa-2,3,5,6-tetrasilacyclohexane); 디에톡시메틸실란 (diethoxymethylsilane; DEMS); 디에톡시실란 (diethoxysilane; DES); 디메톡시메틸실란 (dimethoxymethylsilane); 디메톡시실란 (dimethoxysilane; DMOS); 메틸-디에톡시실란 (methyl-diethoxysilane; MDES); 메틸-디메톡시실란 (methyl-dimethoxysilane; MDMS); 옥타메톡시도데카실록산 (octamethoxydodecasiloxane; OMODDS); tert-부톡시디실란 (tert-butoxydisilane); 테트라메틸사이클로테트라실록산 (tetramethylcyclotetrasiloxane; TMCTS); 테트라옥시메틸사이클로테트라실록산 (tetraoxymethylcyclotetrasiloxane; TOMCTS); 트리에톡시실란 (triethoxysilane; TES); 트리에톡시실록산 (triethoxysiloxane; TRIES); 및 트리메톡시실란 (trimethoxysilane; TMS 또는 TriMOS) 을 포함한다.
상기 나타낸 바와 같이, ALD 프로세스는 보텀-업 프로세스에서 피처를 충진할뿐만 아니라 구조체의 상단부에 선택적으로 보호 라이너를 증착하도록 사용될 수도 있다. 동작 (103) 에 대해, ALD는 구조체의 상단부에만 증착되도록 설계된다. 이는 피처 내로의 증착을 제어하도록 도즈 및 RF 전력을 맞춤으로써 (tailor) 이루어질 수 있다. 고 AR 구조체에 대해, 예를 들어, 매우 짧은 실리콘 전구체 도즈 (동작 (402) 동안) 및 짧은 RF 온 (RF on) (동작 (406) 동안) 이 도 2a에 도시된 바와 같이 구조체의 상단부 근방에만 증착하도록 사용될 수 있다.
후속하는 갭 충진에 대해 동일하거나 상이한 실리콘 전구체가 보호 라이너에 사용될 수도 있다. 그리고 나타낸 바와 같이, 많은 실시 예들에서, 실리콘 도즈 시간 및 플라즈마 노출 시간은 갭 충진보다 보호 라이너 증착에 대해 보다 짧을 것이다. 그러나, 실리콘 (또는 다른 전구체) 도즈는 충분히 길어야 하고 RF 전력 및 지속 기간은 막 품질이 충분히 양호하여 보호 라이너가 너무 빨리 에칭되지 않아야 한다. 도 4a는 실리콘-함유 막에 대한 ALD 증착의 일 예를 제공한다. 상기 기술된 바와 같이, 다른 막들이 적절한 전구체를 사용하여 보호 라이너로 사용될 수도 있다.
상기 논의된 바와 같이, 보호 라이너의 두께는 억제 플라즈마 동작들의 에칭 컴포넌트에 종속한다. 이는 플라즈마 전력, 플라즈마 지속 기간 및 온도에 따라 결정될 수 있다.
일부 실시 예들에서, 보호 라이너는 PECVD (plasma enhanced chemical vapor deposition) 에 의해 증착된다. PECVD는 피처의 상단부에 선택적으로 증착하는 것이 유리할 수 있다. PECVD 반응에서, 기판은 기판 표면 상에 목표된 막을 생성하도록, 반응 및/또는 분해하는 하나 이상의 휘발성 전구체들에 노출된다. 도 4b는 PECVD에 의해 비-컨포멀한 보호 라이너를 증착하는 방법에 대한 흐름도를 도시한다. PECVD 방법은 일반적으로 동작 (412) 에서 하나 이상의 반응 물질들―실리콘-함유 막, 실리콘 전구체 및 선택 가능한 공-반응 물질을 형성하는 예에서―을 반응 챔버 내로 흘림으로써 시작된다. 반응 물질 전달은 동작 (414) 에서 플라즈마가 생성됨에 따라 계속될 수도 있다. 기판 표면은 플라즈마에 노출되고, 이는 동작 (416) 에서 기판 표면 상에서 증착을 유발한다. 이 프로세스는 목표된 막 두께에 도달할 때까지 계속된다. 동작 (418) 에서, 플라즈마가 소화되고 반응 물질 플로우가 종료된다. 반응 챔버는 이 지점에서 선택 가능하게 퍼지될 수도 있다. 상기 열거된 반응 물질들은 PECVD에 사용될 수도 있다.
일부 실시 예들에서, 상대적으로 저 품질 실리콘-함유 층이 보호 라이너로서 증착될 수도 있다. 예를 들어, 실란 및 메탄은 갭의 상단부에 선택적으로 실리콘 카바이드를 증착하기 위해 PECVD 방법에서 사용될 수도 있다. 갭 충진 동안, 아미노실란이 보다 높은 품질의 막을 위해 사용될 수도 있다. 실란은 희생적 보호 라이너를 위해 상대적으로 저품질 실리콘-함유 막들의 증착을 위해 사용될 수도 있다.
본 개시의 또 다른 양태는 심 (seam) 품질을 개선하는 것에 관한 것이다. 갭 충진 동안, 막이 측벽들로부터 성장함에 따라 심이 피처의 중심 축에서 발생될 (develop) 수도 있다. 예를 들어, 도 3을 참조하면, 억제 블록 각각이 보텀-업 방식으로 갭의 일 부분을 충진하는 동안, 억제 블록 동안 성장은 해당 부분의 측벽들로부터 진행될 수 있고, 이는 측벽 각각으로부터의 성장이 만나는 중심 심을 발생시킨다.
심 품질을 개선하고 ALD 갭 충진 프로세스의 일부로서 구현될 수도 있는 방법들이 본 명세서에 제공된다. 일부 실시 예들에서, 이들은 심 발생 (development) 동안 (예를 들어, H2를 포함하는 프로세스 가스로부터 생성된 플라즈마에 막을 노출시킴으로써) 막 내로 수소를 도입하는 것을 수반한다. 이어서 후속 처리가 예를 들어, 심을 가로 질러 Si-O-Si 결합들 (linkages) 을 형성하기 위해 Si-H 기들을 반응시키도록 사용될 수도 있다. 이러한 방식으로, 심들이 감소되거나 방지된다. 도 5는 이러한 처리가 억제 블록 시퀀스로 수행되는 프로세스 시퀀스의 일 예를 도시한다. 도 5에 도시된 억제 블록은 억제 블록의 종료 근방에서 수소를 첨가하는 것을 포함한다. 일부 실시 예들에서, 막은 H-함유 가스로부터 생성된 플라즈마에 노출된다. 일부 실시 예들에서, H2/O2로부터 생성된 플라즈마를 갖는 수소-함유 산화 화학 물질에 노출된다. 이는 예를 들어, 수소와 함께 O2로부터 생성된 플라즈마에 막을 노출시키는 것으로부터 산화가 이어진다.
또 다른 실시 예에서, 수소는 ALD 프로세스에서 심 성장 동안 공-반응 물질 도즈들에 첨가될 수도 있다. 실리콘 옥사이드 막이 ALD 프로세스에 의해 형성되는 일 예가 도 6에 도시된다. 블록 (602) 및 블록 (606) 은 도 4a의 블록 (402) 및 블록 (406) 에 대해 상기 기술된 방식으로 수행될 수도 있다. 블록 (606) 에서, 기판은 예를 들어, O2 및/또는 N2O로부터 생성된 산화 플라즈마에 노출된다. 블록들은 실리콘 옥사이드로 갭을 부분적으로 충진하도록 사이클들의 세트 동안 반복된다. (610). 블록 (614) 및 블록 (618) 은 블록 (618) 에서 수소-함유 산화 플라즈마를 사용하여, 블록 (402) 및 블록 (406) 에 대해 상기 기술된 방식으로 수행될 수도 있다. 이들 블록들은 심 형성 동안 ALD 프로세스의 종료 시 사이클들의 세트 동안 반복된다. (622). 이어서 기판은 산화 플라즈마에 노출된다. (626).
도 6의 방법은 억제 블록의 일부로서 구현될 수도 있다. 도 3을 참조하면, 수소는 심이 형성되기 시작할 때 억제 블록의 n3 성장 사이클들의 마지막 x 번에서 산화제 공-반응 물질에 첨가될 수도 있다. 예를 들어, n3이 30이면, O2 플라즈마가 처음 25 번 성장 사이클들 동안 사용될 수도 있고 H2/O2가 마지막 5 번 성장 사이클들에 부가될 수도 있다. 이어서 패시베이션 플라즈마는 일부 실시 예들에서 산화 플라즈마로서 역할을 할 수도 있다.
수소-함유 옥사이드 화학 물질은 수소 및 산소, 그리고 잠재적으로 불활성 가스를 포함할 수도 있다. 일부 실시 예들에서, 옥사이드 화학 물질은 또한 아산화질소, 이산화탄소, 또는 물을 포함할 수도 있다. 일산화탄소, 산화 질소, 이산화질소, 산화 황, 이산화황, 및 산소 함유 탄화수소들과 같은 다른 산화제들이 아산화질소, 이산화탄소, 및 물에 부가하여 또는 대신하여 사용될 수도 있다.
산화 화학 물질 가스 플로우에서 수소 대 산소의 비는 약 1:10 내지 1:1 H2:O2일 수도 있다. 일부 실시 예들에서, 가스 플로우들은 5 slm H2, 5 slm O2, 및 5 slm N2O일 수도 있다. 너무 많은 수소는 발열 반응, 폭발 반응을 유발할 수도 있다.
다양한 실시 예들에서, 플라즈마가 스테이션 내에서 기판 표면 바로 위에 형성되도록, 플라즈마는 인 시츄 (in-situ) 플라즈마이다. 인 시츄 플라즈마에 대한 기판 면적 당 예시적인 전력은 일부 실시 예들에서 약 0.2122 W/㎠ 내지 약 2.122 W/㎠이다. 예를 들어, 전력은 4 개의 300 ㎜ 웨이퍼들을 프로세싱하는 챔버에 대해 약 600 W 내지 약 6000 W의 범위일 수도 있다. ALD 프로세스들을 위한 플라즈마들은 2 개의 용량 커플링 플레이트들을 사용하여 RF 필드를 가스에 인가함으로써 생성될 수도 있다. RF 필드에 의한 플레이트들 간의 가스의 이온화는 플라즈마를 점화하여, 플라즈마 방전 영역에서 자유 전자들 (free electrons) 을 생성한다. 이들 전자들은 RF 필드에 의해 가속화되고, 가스상 반응 물질 분자들과 충돌할 수도 있다. 이들 전자들의 반응 물질 분자들과의 충돌은 증착 프로세스에 참여하는 라디칼 종을 형성할 수도 있다. RF 필드는 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 인식될 것이다. 전극들의 비제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지부 페데스탈들을 포함한다. ALD 프로세스들을 위한 플라즈마들은 RF 필드를 가스에 용량 커플링하는 것 이외의 하나 이상의 적합한 방법들에 의해 형성될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 플라즈마는 제 2 반응 물질이 스테이션의 업스트림의 리모트 플라즈마 생성기에서 점화되고 이어서 기판이 하우징되는 스테이션으로 전달되도록, 리모트 플라즈마이다.
장치
도 7은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (702) 를 갖는 ALD 프로세스 스테이션 (700) 의 실시 예의 개략적인 예시를 도시한다. 복수의 ALD 프로세스 스테이션들 (700) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 7은 멀티-스테이션 프로세싱 스테이션 (700) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것을 포함하는, ALD 프로세스 스테이션 (700) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 시스템 제어기들 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
ALD 프로세스 스테이션 (700) 은 분배 샤워헤드 (706) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (701a) 과 유체로 연통한다. 반응 물질 전달 시스템 (701a) 은 샤워헤드 (706) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (704) 를 포함한다. 일부 실시 예들에서, 캐리어 가스가 제공된다면, 억제제 가스는 챔버 바디 (702) 로의 도입 전에 혼합 용기로 도입될 수도 있다. 일부 실시 예들에서, 억제제 또는 다른 가스는 챔버 바디 (702) 로 직접 전달될 수도 있다. 하나 이상의 혼합 용기 유입구 밸브들 (720) 은 프로세스 가스들의 혼합 용기 (704) 로의 도입을 제어할 수도 있다. 이들 밸브들은 다양한 동작들 동안 프로세스 가스, 억제제 가스, 또는 캐리어 가스가 턴온될 수도 있는지 여부에 따라 제어될 수도 있다. 일부 실시 예들에서, 억제제 가스는 억제제 액체를 사용하고 가열된 기화기를 사용하여 기화함으로써 생성될 수도 있다.
예로서, 도 7의 실시 예는 혼합 용기 (704) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (703) 을 포함한다. 일부 실시 예들에서, 기화 지점 (703) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응 물질 증기가 다운스트림 전달 파이프에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고, 밸브 동작을 방해하고, 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이프를 퍼지 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 퍼지하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋 (throughput) 을 열화시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (703) 의 다운스트림 전달 파이프는 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (704) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (703) 의 다운스트림 파이프는 혼합 용기 (704) 에서 대략 100
Figure pct00002
로부터 대략 150
Figure pct00003
로 연장하는, 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 액체 전구체 또는 액체 반응물질, 예컨대 실리콘-함유 전구체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물질의 펄스들을 혼합 용기의 업스트림의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 실시 예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flash) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 (atomize) 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (703) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (704) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (706) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (703) 의 업스트림에 액체 플로우 제어기 (liquid flow controller; LFC) (미도시) 가 기화 및 프로세스 스테이션 (700) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (thermal mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 (disable) 수행될 수도 있다.
샤워헤드 (706) 는 기판 (712) 을 향해 프로세스 가스들을 분배한다. 예를 들어, 다양한 동작들에서, 샤워헤드 (706) 는, 기판 (712) 에 억제제 가스를, 기판 (712) 에 실리콘-함유 전구체 가스를, 또는 챔버 바디 (702) 로 퍼지 가스 또는 캐리어 가스를, 기판 (712) 에 제 2 반응 물질을, 또는 기판 (712) 에 패시베이션 가스를 분배할 수도 있다. 도 7에 도시된 실시 예에서, 기판 (712) 은 샤워헤드 (706) 밑에 위치되고 페데스탈 (708) 상에 놓인 것으로 도시된다. 샤워헤드 (706) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (712) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 마이크로볼륨 (microvolume) 이 샤워헤드 (706) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 개시된 실시 예들을 실시하는 것은 반응 물질 노출 및 퍼지 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간을 감소시킬 수도 있고, 프로세스 스테이션 로봇들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이는 또한 생산성 쓰루풋에 영향을 준다. 일부 실시 예들에서, 개시된 실시 예들은 마이크로볼륨에서 수행되지 않는다.
일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 을 마이크로볼륨 (707) 에 노출하고 그리고/또는 마이크로볼륨 (707) 의 체적을 가변시키도록 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (708) 은 마이크로볼륨 (707) 내에서 기판 (712) 을 위치시키도록 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (707) 은 고 플로우 임피던스의 영역을 생성하도록 페데스탈 (708) 의 일부뿐만 아니라 기판 (712) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게, 페데스탈 (708) 은 마이크로볼륨 (707) 내에서 프로세스 압력, 반응 물질 농도, 등을 변조하기 위해 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (702) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (708) 을 하강시키는 것은 마이크로볼륨 (707) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비들을 포함한다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (750) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (708) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 선택 가능한 플라즈마 활성화 프로세스들 동안 가변되게 할 수도 있다. 예를 들어, 플라즈마는 억제제 가스가 챔버 바디 (702) 로 도입될 때, 또는 제 2 반응 물질이 챔버 바디 (702) 로 흐를 때 활성화될 수도 있다. 일부 실시 예들에서, 플라즈마는 억제제 가스의 플로우 또는 제 2 반응 물질의 플로우 동안 활성화되지 않을 수도 있다. 프로세스 페이즈 (phase) 의 종료 시, 페데스탈 (708) 은 페데스탈 (708) 로부터 기판 (712) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이 조정 가능한 페데스탈 (708) 을 참조하지만, 일부 실시 예들에서, 샤워헤드 (706) 의 포지션은 마이크로볼륨 (707) 의 체적을 가변시키도록 페데스탈 (708) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (708) 및/또는 샤워헤드 (706) 의 수직 포지션는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (708) 은 기판 (712) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (750) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
샤워헤드 (706) 및 페데스탈 (708) 은 플라즈마에 전력을 공급하기 위해 RF (radio frequency) 전력 공급부 (714) 및 매칭 네트워크 (716) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도들, 및 가스들의 분압들 또는 가스 플로우 레이트들, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 (timing) 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (714) 및 매칭 네트워크 (716) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (714) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (714) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 500 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒ 초과, 27 ㎒ 초과, 40 ㎒ 초과, 60 ㎒ 초과 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌 (ion bombardment) 을 감소시키도록 간헐적으로 펄싱될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광법 (optical emission spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (750) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물질 가스 (예를 들어, 디실란과 같은 제 1 전구체) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변조하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 제 1 레시피 페이즈에서 사용된 가스 (예를 들어, 수소-함유 억제제) 와 동일하거나 상이할 수도 있는 불활성 가스, 억제제 가스 및/또는 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스 (예를 들어, 질소 또는 질소-함유 가스 또는 산소-함유 가스와 같은 제 2 반응 물질) 의 플로우 레이트를 변조하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (708) 은 히터 (710) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시 예들에서, 프로세스 스테이션 (700) 에 대한 압력 제어가 버터플라이 밸브 (718) 에 의해 제공될 수도 있다. 도 7의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (718) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (700) 의 압력 제어는 또한 프로세스 스테이션 (700) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 8은 인바운드 로드 록 (load lock) (802) 및 아웃바운드 로드 록 (804) 을 갖는 멀티-스테이션 프로세싱 툴 (800) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (802) 및 아웃바운드 로드 록 (804) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (806) 은, 카세트로부터 포드 (pod) (808) 를 통해 로딩된 웨이퍼들을 대기 포트 (810) 를 통해 인바운드 로드 록 (802) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (802) 내의 페데스탈 (812) 상에 로봇 (806) 에 의해 배치되고, 대기 포트 (810) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (802) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (814) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (802) 내에서 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (814) 로의 챔버 이송 포트 (816) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 8에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (814) 는 도 8에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (818) 로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (814) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 8은 프로세싱 챔버 (814) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (890) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (890) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 8은 또한 프로세스 툴 (800) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (850) 의 실시 예를 도시한다. 시스템 제어기 (850) 는 하나 이상의 메모리 디바이스들 (856), 하나 이상의 대용량 저장 디바이스들 (854), 및 하나 이상의 프로세서들 (852) 을 포함할 수도 있다. 프로세서 (852) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 는 프로세스 툴 (800) 의 모든 액티비티들을 제어한다. 시스템 제어기 (850) 는 대용량 저장 디바이스 (854) 에 저장되고 메모리 디바이스 (856) 내로 로딩되어 프로세서 (852) 상에서 실행되는 시스템 제어 소프트웨어 (858) 를 실행한다. 대안적으로, 제어 로직은 제어기 (850) 에 하드코딩될 (hard coded) 수도 있다. ASICs (applications specific integrated circuits), PLDs (programmable logic devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (858) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (800) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (858) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (858) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (850) 와 연관된 대용량 저장 디바이스 (854) 및/또는 메모리 디바이스 (856) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (818) 상에 기판을 로딩하고 기판과 프로세스 툴 (800) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 실리콘-함유 전구체, 공-반응 물질, 억제 가스, 패시베이션 가스 및 퍼지 가스) 및 플로우 레이트들을 제어하기 위한 코드 그리고 선택 가능하게 프로세스 스테이션 내 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (850) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (850) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (800) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
시스템 제어기 (850) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 막 스택들의 인 시츄 증착을 동작시키기 위해 파라미터들을 제어할 수도 있다.
시스템 제어기 (850) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (850) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (850) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 프로세싱 조건들 및/또는 시스템의 타입에 따라, 시스템 제어기 (850) 는 프로세싱 가스들 및/또는 억제제 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 설정 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (850) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (digital signal processors; DSPs), ASICs (application specific integrated circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (850) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (850) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (850) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (850) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (850) 가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (850) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (850) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 (lithographic pattern) 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 퍼니스 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함하고, 동작 각각은 다수의 가능한 툴들로 인에이블된다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (17)

  1. 충진될 (fill) 갭을 포함하는 구조체를 갖는 기판을 제공하는 단계;
    보호 라이너 (protective liner) 가 상기 갭 내로 부분적으로만 연장하도록 상기 구조체의 상부 부분에 선택적으로 증착하는 단계; 및
    (a) 상기 갭의 일부 상의 증착을 억제하도록 할로겐 종을 포함하는 플라즈마에 상기 기판을 노출시키는 단계;
    (b) 상기 단계 (a) 후에, 상기 갭 내에 유전체 재료를 증착하는 단계의, 하나 이상의 사이클들을 수행하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 보호 라이너는 실리콘-함유 막인, 방법.
  3. 제 1 항에 있어서,
    상기 보호 라이너는 옥사이드 (oxide), 나이트라이드 (nitride), 또는 카바이드 (carbide) 인, 방법.
  4. 제 1 항에 있어서,
    상기 보호 라이너는 상기 단계 (a) 동안 에칭되는, 방법.
  5. 제 1 항에 있어서,
    상기 단계 (a) 동안 상기 플라즈마는 질소 트리플루오라이드 (nitrogen trifluoride) (NF3) 로부터 생성되고 상기 보호 라이너는 실리콘 나이트라이드 막인, 방법.
  6. 제 1 항에 있어서,
    상기 보호 라이너는 10 내지 999 옹스트롬 (Å) 두께인, 방법.
  7. 제 1 항에 있어서,
    상기 보호 라이너를 증착하는 단계 및 상기 하나 이상의 사이클들을 수행하는 단계는 동일한 챔버에서 수행되는, 방법.
  8. 제 1 항에 있어서,
    상기 구조체는 3D NAND 구조체이고, 상기 갭은 복수의 쌍들의 옥사이드 층 및 나이트라이드 층을 각각 포함하고 폴리실리콘 층에 의해 캡핑된 2 개의 스택들에 의해 형성되고 그리고 상기 보호 라이너는 상기 폴리실리콘 층을 보호하는, 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 보호 라이너를 증착하는 단계는 플라즈마 강화 원자 층 증착 (plasma enhanced atomic layer deposition; PEALD) 프로세스를 포함하는, 방법.
  10. 제 9 항에 있어서,
    상기 ALD 프로세스 동안 플라즈마 전력은 상기 단계 (a) 동안의 플라즈마 전력보다 보다 낮은, 방법.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 보호 라이너를 증착하는 단계는 플라즈마 강화 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스를 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 보호 라이너는 실란 (silane) 으로부터 증착된 실리콘 카바이드 라이너인, 방법.
  13. 충진될 갭을 포함하는 구조체를 갖는 기판을 제공하는 단계로서, 상기 갭은 상기 갭 내로 부분적으로만 연장하는 보호 라이너로 라이닝되는, 상기 기판 제공 단계; 및
    (a) 상기 갭의 일부 상의 증착을 억제하도록 할로겐 종을 포함하는 플라즈마에 상기 기판을 노출시키는 단계;
    (b) 상기 단계 (a) 후에, 상기 갭 내에 유전체 재료를 증착하는 단계의, 하나 이상의 사이클들을 수행하는 단계를 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 하나 이상의 사이클들 동안 상기 갭 내로 부분적으로만 연장하는 제 2 보호 라이너를 증착하는 단계를 더 포함하는, 방법.
  15. 제 13 항에 있어서,
    상기 단계 (a) 동안 상기 플라즈마는 질소 트리플루오라이드 (NF3) 로부터 생성되고 상기 보호 라이너는 실리콘 나이트라이드 막인, 방법.
  16. 제 13 항에 있어서,
    상기 단계 (a) 동안 상기 플라즈마는 질소 트리플루오라이드 (NF3) 로부터 생성되고 상기 보호 라이너는 실리콘 카바이드 막인, 방법.
  17. 충진될 갭을 포함하는 구조체를 제공하는 단계; 및
    하나 이상의 억제 블록들 (inhibition blocks) 을 수행하는 단계를 포함하고, 억제 블록 각각은,
    하나 이상의 성장 사이클들로서, 성장 사이클 각각은, 상기 갭을 할로겐-함유 억제 플라즈마에 노출시키는 단계 및 원자 층 증착에 의해 상기 갭 내에 유전체 재료를 증착하는 단계를 포함하는, 상기 하나 이상의 성장 사이클들;
    상기 하나 이상의 성장 사이클들 후에, 수소-함유 가스로부터 생성된 플라즈마에 상기 구조체를 노출하는 단계; 및
    수소-함유 가스로부터 생성된 상기 플라즈마에 상기 구조체를 노출시킨 후, 상기 구조체를 산화 플라즈마에 노출시키는 단계를 포함하는, 방법.
KR1020227038167A 2020-04-01 2021-03-31 갭 충진 동안 심 완화 및 통합된 라이너 KR20220162166A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063003671P 2020-04-01 2020-04-01
US63/003,671 2020-04-01
PCT/US2021/025271 WO2021202808A1 (en) 2020-04-01 2021-03-31 Seam mitigation and integrated liner for gap fill

Publications (1)

Publication Number Publication Date
KR20220162166A true KR20220162166A (ko) 2022-12-07

Family

ID=77927475

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038167A KR20220162166A (ko) 2020-04-01 2021-03-31 갭 충진 동안 심 완화 및 통합된 라이너

Country Status (6)

Country Link
US (1) US20230175117A1 (ko)
JP (1) JP2023519849A (ko)
KR (1) KR20220162166A (ko)
CN (1) CN115428122A (ko)
TW (1) TW202208662A (ko)
WO (1) WO2021202808A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202345205A (zh) * 2021-12-17 2023-11-16 美商蘭姆研究公司 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
JP2023130026A (ja) * 2022-03-07 2023-09-20 東京エレクトロン株式会社 埋込方法及び処理システム
WO2023205284A1 (en) * 2022-04-20 2023-10-26 Lam Research Corporation Lateral gap fill
WO2024102763A1 (en) * 2022-11-08 2024-05-16 Lam Research Corporation A robust icefill method to provide void free trench fill for logic and memory applications
WO2024107573A1 (en) * 2022-11-15 2024-05-23 Lam Research Corporation Inhibited atomic layer deposition for patterning applications
CN116351662B (zh) * 2023-03-31 2024-05-17 浙江大学 一种聚合物薄膜区域选择性沉积的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20160042968A1 (en) * 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9230983B1 (en) * 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch

Also Published As

Publication number Publication date
US20230175117A1 (en) 2023-06-08
JP2023519849A (ja) 2023-05-15
TW202208662A (zh) 2022-03-01
CN115428122A (zh) 2022-12-02
WO2021202808A1 (en) 2021-10-07

Similar Documents

Publication Publication Date Title
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
US20220384186A1 (en) Methods to enable seamless high quality gapfill
WO2023164717A1 (en) Surface inhibition atomic layer deposition
WO2023159012A1 (en) High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer
TW202418351A (zh) 表面抑制原子層沉積
KR20240032126A (ko) 실리콘-함유 막들의 플라즈마 강화 원자 층 증착
WO2023114898A1 (en) Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
WO2023076524A1 (en) Atomic layer deposition seam reduction
WO2024102763A1 (en) A robust icefill method to provide void free trench fill for logic and memory applications
WO2023205284A1 (en) Lateral gap fill
WO2023114870A1 (en) High pressure plasma inhibition
WO2024091844A1 (en) Fluorine reduction is silicon-containing films
TW202409322A (zh) 橫向間隙填充
KR20230043795A (ko) 실리콘-함유 막들의 불순물 감소
CN117616540A (zh) 含硅膜的等离子体增强型原子层沉积

Legal Events

Date Code Title Description
A201 Request for examination