TW202405224A - 半導體裝置中電容的降低 - Google Patents

半導體裝置中電容的降低 Download PDF

Info

Publication number
TW202405224A
TW202405224A TW112109682A TW112109682A TW202405224A TW 202405224 A TW202405224 A TW 202405224A TW 112109682 A TW112109682 A TW 112109682A TW 112109682 A TW112109682 A TW 112109682A TW 202405224 A TW202405224 A TW 202405224A
Authority
TW
Taiwan
Prior art keywords
gap
forming
reactant
silicon
plasma
Prior art date
Application number
TW112109682A
Other languages
English (en)
Inventor
伊恩 約翰 科廷
道格拉斯 華特 阿格紐
桂喆
托比亞斯 佩斯克
史貴凡迪 巴頓 J 凡
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202405224A publication Critical patent/TW202405224A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

揭露了使用電漿增強原子層沉積(PEALD)在孔洞和溝槽結構中形成氣隙的方法。該方法可用於形成埋藏式空隙,即頂部低於相鄰特徵部頂部的空隙。在一些實施例中,該方法用於減少半導體裝置中的層內電容。

Description

半導體裝置中電容的降低
本發明關於半導體裝置中電容的降低。
空氣是強介電質,其介電常數k接近於一。可以在半導體裝置結構中形成氣隙以提供分離導體的超低k材料。
此處提供之背景說明係以一般性呈現本揭露內容之背景為目的。目前列名發明人之作品,在此先前技術章節中所述之範圍,以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,係未明示或暗示承認為對於本揭露內容之先前技術。
揭露了在孔洞和溝槽結構中形成氣隙的方法。該方法可用於形成埋藏式空隙。埋藏式空隙是低於相鄰特徵部頂部的空隙。該方法包括在電漿操作期間使用稀釋的反應物流之介電材料的電漿增強沉積。在一些實施例中,該方法包括在電漿增強原子層沉積(PEALD)製程的電漿轉換操作期間稀釋反應性氣體化學物。這會導致特徵部底部缺乏反應離子及/或自由基,從而導致優先沉積在特徵部頂部附近的非共形生長。重複此順序導致氣隙的形成。
本揭露的一態樣關於一種方法,包括:提供包括特徵部和在該些特徵部之間的一開放間隙的一結構,該開放間隙包括側壁和底表面;以及執行多個電漿增強原子層沉積(PEALD)循環,每個循環包括:(a)暴露該結構於一劑量之含矽前驅物讓該含矽前驅物吸附在該開放間隙的側壁和底表面上;以及(b)暴露該經吸附的含矽前驅物於由包括一共反應物和一種或多種稀釋氣體的一製程氣體產生的一電漿,以使該共反應物與該經吸附的含矽前驅物反應並形成一介電材料,其中該介電材料優先形成在該開放間隙的頂部附近,其中該一種或多種稀釋氣體與該共反應物的體積流速比為至少5:1。
在一些實施例中,該方法還包括以經沉積的介電材料封閉該開放間隙,從而在該些特徵部之間形成一封閉氣隙。在一些實施例中,該封閉氣隙的頂部低於該些特徵部的頂部。在一些實施例中,該封閉氣隙的頂部比該些特徵部的頂部低至少5奈米。
在一些實施例中,該方法還包括在步驟(a)和步驟(b)之間淨化容納該結構的一腔室。在一些實施例中,該一種或多種稀釋氣體與該共反應物的體積流速比至少為10:1。在一些實施例中,該一種或多種稀釋氣體與該共反應物的體積流速比至少為20:1。 在一些實施例中,該一種或多種稀釋氣體與該共反應物的體積流速比介於5:1和50:1之間。在一些實施例中,該共反應物是含氧氣體。在一些實施例中,該共反應物是一氧化二氮(N 2O)或氧氣(O 2)。在一些實施例中,該共反應物是氮氣(N 2)。在一些實施例中,在每個循環中步驟(a)的持續時間是步驟(b)的持續時間的至少兩倍。在一些實施例中,該開放間隙具有一第一面積且該封閉氣隙佔據該第一面積的至少80%。在一些實施例中,該封閉氣隙佔據該第一面積的至少90%。
本揭露的另一態樣關於一種方法,包括:提供包括特徵部和在該些特徵部之間的一開放間隙的一結構,該開放間隙具有一第一面積且包括側壁和底表面;以及執行多個電漿增強原子層沉積(PEALD)循環以優先在該開放間隙的頂部沉積介電材料,從而在該些特徵部之間形成一封閉氣隙,每個循環包括:(a)暴露該結構於一劑量之一含矽前驅物讓該含矽前驅物吸附在該開放間隙的側壁和底表面上;以及(b)暴露該經吸附的含矽前驅物於由包括一共反應物和一種或多種稀釋氣體的一製程氣體產生的一電漿,以使該共反應物與該經吸附的含矽前驅物反應並形成一介電材料,其中,該封閉氣隙是在沒有蝕刻或抑制操作的情況下形成的,且該封閉氣隙佔據該第一面積的至少80%。
在一些實施例中,該封閉氣隙佔據該第一面積的至少90%。在一些實施例中,該一種或多種稀釋氣體與該共反應物的體積流速比為至少10:1。在一些實施例中,該一種或多種稀釋氣體與該共反應物的體積流速比為至少20:1。
此處和其他的態樣佐以圖式描述如下。
在下面的描述中,闡述了許多具體細節以提供對所呈現的實施例的透徹理解。可以在沒有一些或所有這些具體細節的情況下實施所揭露的實施例。在其他示例中,沒有詳細描述周知的製程操作以避免不必要地模糊所揭露的實施例。儘管將結合具體實施例來描述所揭露的實施例,但是應當理解,其不旨在限制所揭露的實施例。
揭露了在孔洞和溝槽結構中形成氣隙的方法。氣隙是在孔洞、溝槽或其他包含空氣的凹槽中的封閉空隙。在一些實施例中,該方法用於減少半導體裝置中的層內電容。該方法包括在電漿操作期間使用稀釋的反應物流之介電材料的電漿增強沉積。
在一些實施例中,該方法包括在電漿增強原子層沉積(PEALD)製程的電漿轉換操作期間稀釋反應性氣體化學物。這會導致特徵部底部缺乏反應離子及/或自由基,從而導致優先沉積在特徵部頂部附近的非共形生長。重複這個順序導致氣隙的形成。如下文進一步討論的,製程的共形性和氣隙的位置可以藉由各種參數控制,該參數包括反應性化學物化學物質、氣體流量比、壓力及電漿功率。遍及不同結構中適當位置的氣隙和特徵部尺寸可以形成。
圖1是顯示形成氣隙的示例性方法100的流程圖。首先,在操作101中,提供在特徵部之間具有開口間隙的結構。該結構可以是孔洞或溝槽結構或在兩個特徵部之間將形成氣隙的其他結構,該兩個特徵部可以是導電特徵部、介電特徵部、半導體特徵部等。結構的示例如圖2所示,下面進一步討論。
在一些實施例中,該方法用於減少半導體裝置中的層內電容。然而,它們也可用於在任何適當的環境下形成氣隙,包括在金屬膜或層中形成氣隙和在MEMS裝置中形成氣隙。半導體結構的示例包括互連、導線或其他導電特徵部。該方法還可以在特徵部之間的介電膜覆蓋氣隙之任何情況中實施。結構的示例包括3D NAND結構(例如狹縫)、DRAM結構(例如位元線結構)、後段製程(BEOL)中的金屬線、及邏輯閘極。
結構表徵在於具有兩個或更多個相鄰特徵部,其中在特徵部之間具有開口間隙。在許多實施型態中,特徵部是導電特徵部,將形成的氣隙提供非常低k的介電質及降低的寄生電容。然而,特徵部的側壁表面可以包括任何合適的材料,包括導電、介電或半導體材料或這些材料的任何組合。例如,該結構可以是塗有碳化矽(SiC)膜的銅(Cu)線。結構的尺寸取決於特定的應用。例如,進入的DRAM結構可能有25-50 nm寬和300-800 nm深的間隙,以及進入的3D NAND結構可能為50-100 nm寬和5-14微米深。然而,本文描述的方法不限於任何特定的結構尺寸、特徵部組成或側壁表面。在一些實施例中,該方法可以在難以實施用於形成氣隙的其他技術(例如犧牲材料的沉積和去除)的應用中實施。該結構通常提供給沉積室。
接下來,在操作103中執行結構中材料的可選共形或自下而上的沉積。該材料可以與將在隨後操作中形成在結構頂部的材料相同或不同。可以沉積材料以實現氣隙的特定佈置和/或幾何形狀。例如,如果氣隙底部設計為在該結構底部上方100 Å,則可以在結構底部沉積100 Å的材料。
在一些實施例中,形成材料的共形襯墊層。諸如PEALD的共形沉積製程可用於共形沉積。在一些實施例中,操作103被省略。
在PEALD製程中,吸附的含矽前驅物(或其他介電質前驅物)與電漿中傳送的共反應物反應。例如,可以使用氧化電漿來形成氧化矽膜。電漿可指轉化電漿且將介電質前驅物暴露於電漿的操作可稱為電漿轉化操作。
在操作105中,介電材料在電漿轉換操作期間藉由使用稀釋的共反應物流的PEALD優先沉積在該結構的頂部。這種氣體稀釋導致共反應物匱乏的轉化,其中大部分反應性電漿化學物在該結構頂部處或附近被消耗。很少有反應性化學物到達該結構底部,導致生長很少。PEALD製程優先在該結構頂部沉積介電材料。該結構頂部的側壁生長封閉了該結構,從而在下方留下氣隙。
圖2顯示在沉積之前和之後基板201上包括特徵部205和開放間隙206的結構的示例。特徵部205可以是例如金屬接點。開放間隙206在特徵部205之間且具有側壁表面215和底表面217。側壁表面215從開口延伸並藉由底表面217連接。基板201可以是矽或其他半導體晶圓,例如200-mm晶圓、300-mm晶圓或450-mm晶圓,包括其上沉積有一層或多層材料(例如介電、導電或半導體材料)的晶圓。該方法還可以應用於在諸如玻璃、塑料等的其他基板上形成具有氣隙的結構,包括在微機電(MEMS)裝置的製造中。
藉由使用稀釋的反應氣體的PEALD方法將介電材料203沉積在開放間隙206中。大多數反應性電漿化學物在該結構的每個特徵部205的頂部被消耗。介電材料203優先沉積在該結構的頂部。當發生沉積時,開放間隙206的側壁表面215和底表面217發生變化,其中經沉積的材料形成開放間隙206的邊界。特徵部205的每個側壁的生長最終相遇,在207處封閉特徵部。結果,在特徵部205之間形成封閉氣隙208。
在一些實施例中,控制沉積製程使得氣隙208的頂部低於特徵部205的頂部,如圖2所示。
所形成的氣隙可在沉積之前佔據進入間隙的大部分體積,例如,至少60%、至少70%、至少80%、至少90%、或至少90%的原始間隙。這也可以表徵為相對於特徵部之間的空氣和固體材料佔據的總體積,空氣佔據的百分比體積。可以藉由考慮連接間隙頂部之特徵部頂部的平面來決定原始間隙的面積。
例如,為了減小金屬線之間的電容,原始間隙的大部分(例如,至少80%或90%)可以是空氣。氣隙的頂部可以在特徵部頂部下方至少約5nm以允許在隨後的平面化之後有一些餘量。
在一些實施例中,介電材料的薄層沉積在整個特徵部上,其包括在底部和下側壁表面上。這封裝了氣隙,且可以在PEALD製程期間沉積。
可以在大間隙中形成氣隙。例如,3D NAND結構中的進入間隙(例如狹縫或記憶體孔)可能在50-100 nm寬和5-14 微米深之間。介電材料可以沉積到約500 nm的深度,其下方的間隙是空的(充滿空氣)。約500nm的介電材料可沉積在特徵部平面上。DRAM結構中的進入間隙可能為25-50 nm寬、300-800 nm深。介電材料可沉積至約30-50nm的深度,其下方的間隙是空的。
雖然本文的描述關於氣隙,但本文所述的方法可用於藉由在適當的環境中執行該方法以形成特徵部之間由任何氣體佔據的間隙。
在一些實施例中,可以在圖1所示的製程期間或之前執行一個或多個蝕刻及/或抑制操作。然而,在一些實施例中,在PEALD製程期間及/或之前沒有任何抑制或蝕刻操作的情況下使用PEALD形成氣隙。
操作105通常使用多個PEALD循環。圖3是用於單一PEALD循環的製造流程圖,其可以作為圖1中的操作105的一部分實施以優先在結構的頂部沉積介電材料。
ALD是依序沉積材料之薄層的技術。ALD製程使用表面介導的沉積反應以在循環中逐層沉積膜。例如,ALD循環可包括以下操作:(i) 前驅物的輸送/吸附,(ii) 從腔室中淨化前驅物,(iii) 輸送第二反應物,且於PEALD製程,電漿點火,以及(iv) 從腔室中淨化副產物。
在ALD製程的一示例中,包括大量表面活性位點的基板表面暴露於第一前驅物的氣相分布(例如含矽前驅物),其劑量為提供至容納基板的腔室。該第一前驅物的分子被吸附到基板表面上,包括第一前驅物的化學吸附化學物及/或物理吸附分子。應當理解,當化合物如本文所述吸附到基板表面上時,吸附層可包括該化合物以及該化合物的衍生物。例如,含矽前驅物的吸附層可以包括含矽前驅物以及含矽前驅物的衍生物。在第一前驅物給劑之後,然後將腔室抽空以去除大部分或全部殘留為氣相的第一前驅物,使得主要是或僅保留經吸附的化學物。在一些實施方式中,腔室可能沒有被完全抽空。例如,可以將反應器抽空,使得氣相中的第一前驅物的分壓足夠低以緩和反應。將諸如含氧氣體的第二反應物引入腔室,使得這些分子中的一些與吸附在表面上的第一前驅物反應。在一些製程中,第二反應物立即與經吸附的第一前驅物反應。在其他實施例中,第二反應物僅在暫時施加諸如電漿的活化源時才反應。然後可以再次抽空腔室以去除未結合的第二反應物分子。如上所述,在一些實施例中,腔室可以未被完全抽空。額外的ALD循環可用於增加膜厚度。
轉向圖3,在操作302中,基板暴露於介電質前驅物,以將前驅物吸附到特徵部的表面上。此操作可能是自限性的。在一些實施例中,前驅物吸附於特徵部表面上的非所有活性位點。介電質前驅物通常是含矽前驅物,儘管可以使用其他介電質前驅物。可使用的含矽前驅物在下文進一步描述。
在操作304中,淨化處理室以去除任何未吸附的介電質前驅物。在操作306中,基板暴露於由稀釋的共反應物產生的電漿。示例包括含氧氣體,例如氧氣(O 2)及/或一氧化二氮(N 2O)以形成氧化物層;氮氣(N 2)或氨氣(NH 3)以形成氮化物層;含碳反應物以形成碳化物層等。
在操作308中,處理室被淨化以去除來自介電質前驅物和共反應物之間反應的副產物。操作302至308可重複多個循環以沉積介電材料以形成如上所述的氣隙。根據各種實施例,下文描述的任何製程參數可以是恆定的或隨多個PEALD循環的循環間而變化。
應當注意,本文描述的製程不限於特定的反應機制。因此,關於圖1-3描述的製程包括使用對於介電質前驅物和轉化電漿的依序暴露之所有沉積製程,包括那些不是嚴格自限性的製程。該製程包括其中用於產生電漿的一種或多種氣體以間歇性電漿點燃連續供應於整個製程的順序。
操作105或306中的共反應物與更多的惰性氣體如氬氣(Ar)、氫氣(H 2)及/或氦氣(He)一起輸送以稀釋它。這些被稱為稀釋氣體。惰性氣體與共反應物的示例性體積流速比範圍為100:1至5:1。根據特徵部的形貌,可以使用超出此範圍的比例。可以調整該比率以控制氣隙的佈置和尺寸,且在一些實施例中可以是50:1到5:1或20:1到5:1以獲得特徵部頂部下方的大間隙。
除了稀釋共反應物氣體之外,電漿轉化時間可以很短以限制可以進一步擴散到結構中的反應性化學物。圖4顯示用於沉積氧化矽材料以形成氣隙的示例性時序。首先,在劑量階段,該結構暴露於含矽前驅物。隨後進行後劑量淨化(PDP),其中惰性淨化氣體被供應。如圖所示,電漿轉化操作(標記為射頻(RF)/氧化)相對較短,稀釋氣體明顯多於供應的氧化劑氣體。然後執行後RF淨化。在一些實施例中,淨化和稀釋氣體可包括相同的氣流量。含矽前驅物也可以與惰性氣體一起供應,但稀釋度明顯低於氧化劑。在一些實施例中,劑量階段的持續時間是電漿轉化階段的持續時間的至少兩倍。
雖然介電質前驅物劑量的極度稀釋可用於非共形沉積並用於形成氣隙,但它傾向於導致高的晶圓內不均勻性。本文所述的使用電漿共反應物的極度稀釋的方法可導致低不均勻性,例如,小於5%的不均勻性。
可以控制氣隙尺寸和佈置。如上所述,在一些實施例中,氣隙尺寸未延伸超過相鄰特徵部的高度之上。在一些實施例中,形成的氣隙越大越好,同時保持氣隙低於特徵部頂部。
在一些實施例中,在具有較小臨界尺寸(CD)的間隙中形成氣隙可能包括使用更多的非共形製程(例如,使用更高的共反應物稀釋度)。除了CD之外,特徵部形狀還會影響用於形成具有特定尺寸和位置的氣隙的條件。在具有突懸的結構中形成氣隙可能比具有直側壁的結構使用更少的稀釋度。這是因為突懸的存在會導致非共形性,從而促進結構頂部的優先沉積並在結構內形成氣隙。參見例如圖5,其顯示在介電材料503的優先沉積和氣隙508的形成之前和之後結構中的突懸515。
共形性以及氣隙尺寸和位置可以藉由稀釋、腔室壓力、電漿時間、電漿功率和共反應物的選擇來控制。例如,當使用O 2作為沉積氧化物的共反應物時,減少稀釋度、增加壓力、增加RF時間和增加RF功率其中任何一者或多者使沉積更共形,並可用於降低氣隙的位置。這些製程條件可以導致更小的氣隙且具有更多的側壁沉積。類似地,增加稀釋度、降低壓力、減少RF時間和降低RF功率其中任何一者或多者會使沉積更加非共形,且可用於在使用O 2時增加氣隙的尺寸。
當使用N 2O作為氧化劑時,以下任何一者或多者:減少稀釋度、降低壓力、減少RF時間和降低RF功率,使得沉積更共形,且可用於降低氣隙的位置。這些製程條件可以導致更小的氣隙且具有更多的側壁沉積。類似地,以下任何一者或多者:增加稀釋度、增加壓力、增加RF時間和增加RF功率,會使沉積更加非共形,且可用於在使用N 2O時增加氣隙的尺寸。
氣隙位置和尺寸也可以藉由選擇共反應物來控制。例如N 2O在相同的稀釋度下會導致比O 2更不共形的沉積。可以使用共反應物(例如O 2和N 2O)的混合。
為了沉積含矽膜,可以使用一種或多種含矽前驅物。含矽前驅物可與共反應物反應以形成含矽膜(例如,SiO 2、SiN、SiON、SiC、SiOC等)。適用於根據所揭露實施例使用的含矽前驅物包括聚矽烷(H 3Si-(SiH 2) n-SiH 3),其中 n ≥ 0。矽烷的示例是矽烷(SiH 4)、二矽烷(Si 2H 6)和有機矽烷(例如甲基矽烷、乙基矽烷 、異丙基矽烷、叔丁基矽烷、二甲基矽烷、二乙基矽烷、二叔丁基矽烷、烯丙基矽烷、仲丁基矽烷、三烷基矽烷、異戊基矽烷、叔丁基二矽烷、二叔丁基二矽烷等)。
鹵代矽烷包括至少一個鹵素基團且可包括或不包括氫及/或碳基團。鹵代矽烷的示例是碘矽烷、溴矽烷、氯矽烷和氟矽烷。具體的氯矽烷為四氯矽烷、三氯矽烷、二氯矽烷、一氯矽烷、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、叔丁基氯矽烷、二叔丁基氯矽烷、氯異丙基矽烷、氯仲丁基矽烷、叔丁基二甲基氯矽烷、第三己基二甲基氯矽烷等。
胺基矽烷包括至少一個與矽原子鍵合的氮原子,但也可以包含氫、氧、鹵素和碳。胺基矽烷的示例是單胺基矽烷、二胺基矽烷、三胺基矽烷和四胺基矽烷(分別為H 3Si(NH 2)、H 2Si(NH 2) 2、HSi(NH 2) 3和Si(NH 2) 4),以及取代的單胺基矽烷、二胺基矽烷、三胺基矽烷和四胺基矽烷,例如叔丁基胺基矽烷、甲基胺基矽烷、叔丁基矽烷胺、雙(叔丁基胺基)矽烷(SiH 2(NHC(CH 3) 3) 2(BTBAS)、叔丁基矽烷基胺基甲酸酯、SiH(CH 3)-(N(CH 3) 2) 2、SiHCl-(N(CH 3) 2) 2、(Si(CH 3) 2NH) 3等。胺基矽烷的另一示例是三矽烷基胺(N(SiH 3))。在一些實施例中,可以使用具有兩個或更多個連接到中心Si原子的胺基的胺基矽烷。與僅具有單個胺基連接的胺基矽烷相比,這些可能導致較小的損害。
含矽前驅物的其他示例包括三甲基矽烷(3MS);乙基矽烷;丁矽烷;五矽烷;八矽烷;七矽烷;六矽烷;環四矽烷;環七矽烷;環六矽烷;環八矽烷;環五矽烷;1,4‑二㗁‑2,3,5,6‑四矽環己烷;二乙氧基甲基矽烷(DEMS);二乙氧基矽烷(DES);二甲氧基甲基矽烷;二甲氧基矽烷(DMOS);甲基‑二乙氧基矽烷(MDES);甲基‑二甲氧基矽烷(MDMS);八甲氧基十二矽氧烷(OMODDS);三級丁氧基二矽烷;四甲基環四矽氧烷(TMCTS);四氧基甲基環四矽氧烷(TOMCTS);三乙氧基矽烷(TES);三乙氧基矽氧烷(TRIES);以及三甲氧基矽烷(TMS或TriMOS)。
在一些實施方式中,含矽前驅物可以包括矽氧烷或含胺基的矽氧烷。在一些實施例中,本文使用的矽氧烷可具有X(R 1) aSi-O-Si(R 2) bY之化學式,其中a和b是0至2的整數,且X和Y獨立地可以是H或NR 3R 4,其中R 1、R 2、R 3和R 4中的每一者是氫、直鏈烷基、支鏈烷基、飽和雜環基、不飽和雜環基或其組合。在一些實施例中,當至少一個X或Y為NR 3R 4時,R 3和R 4與各自連接的原子一起形成飽和雜環化合物。在一些實施例中,含矽前驅物是含五甲基化胺基的矽氧烷或二甲基化含胺基矽氧烷。含胺基矽氧烷的示例包括:1-二乙基胺基 1,1,3,3,3,-五甲基二矽氧烷、1-二異丙基胺基-1,1,3,3,3-五甲基二矽氧烷、1-二丙基胺基-1,1, 3,3,3,-五甲基二矽氧烷、1-二正丁基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-二仲丁基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基乙基胺基 1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基丙基胺基-1,1,3,3,3,-五甲基二矽氧烷、1-N-甲基丁基胺基 -1,1,3,3,3,-五甲基二矽氧烷、1-叔丁胺基-1,1,3,3,3,-五甲基二矽氧烷、1-哌啶基-1,1,3,3,3,-五甲基二矽氧烷、1-二甲基胺基-1,1-二甲基二矽氧烷、1-二乙基胺基-1,1-二甲基二矽氧烷、1-二異丙基胺基-1,1-二甲基二矽氧烷、1-二丙基胺基-1,1-二甲基二矽氧烷、1-二-正丁基胺基-1,1-二甲基二矽氧烷、1-二仲丁基胺基-1,1-二甲基二矽氧烷、1-N-甲基乙基胺基-1,1-二甲基二矽氧烷、1-N-甲基丙基胺基-1,1-二甲基二矽氧烷、1-N-甲基丁基胺基-1,1-二甲基二矽氧烷、1-哌啶基-1,1-二甲基二矽氧烷、1-叔丁基胺基-1,1-二甲基二矽氧烷、1-二甲基胺基-二矽氧烷、1-二乙基胺基-二矽氧烷、1-二異丙基胺基-二矽氧烷、1-二丙基胺基二矽氧烷、1-二正丁基胺基二矽氧烷、1-二仲丁基胺基二矽氧烷、1-N-甲基乙基胺基二矽氧烷、1-N-甲基丙基胺基二矽氧烷、1-N-甲基丁基胺基–二矽氧烷、1-哌啶基-二矽氧烷、1-叔丁基胺基二矽氧烷和1-二甲基胺基-1,1,5,5,5-五甲基二矽氧烷。
除了含矽前驅物之外,一種或多種其他氣體,包括惰性氣體,例如氬氣、氮氣、氦氣、氫氣或其組合,也可以在給劑操作期間供應。在各種實施例中,可以使用介於約1slm和約20slm之間的流速引入氬氣。在一些實施例中,使用介於約0slm和約30slm之間的流速引入氮氣(理解為0slm係指沒有氮氣供應)。在一些實施例中,使用介於約0slm和約5slm之間的流速引入氫氣(理解為0slm係指沒有氫氣供應)。
共反應物部分地由沉積膜的組成決定。氧化矽沉積使用例如由氧氣(O 2)、一氧化二氮(N 2O)或其組合產生的氧化電漿。可以使用其他含氧化合物,例如水(H 2O)。
可以使用N 2電漿、NH 3電漿或其他含氮電漿來沉積氮化矽(SiN)。在一些實施例中,在SiN沉積期間未使用氧化劑。可以使用含碳共反應物(例如,使矽烷與甲烷反應)來沉積碳化矽。可以使用適當的共反應物沉積氮氧化矽、碳氧化矽、氮碳氧化矽等。
共反應物也可以由其在PEALD期間導致的共形程度來決定,如上所述。
可提供電漿能量以將共反應物活化成離子和自由基和其它活化化學物,其與吸附前驅物的吸附層和存在於氣相中的任何前驅物反應。在各種實施例中,電漿是原位電漿,使得電漿直接在腔室中的基板表面上形成。原位電漿可以在介於約0.333W/cm 2和約5W/cm 2之間的每基板面積之功率下點燃。例如,四個300mm晶圓的功率範圍可以從約400W到約6000W。
腔室壓力的示例可以在1至40 Torr,或2至20 Torr,或2 Torr至10 Torr,例如2至6 Torr之範圍。
電漿轉化(RF)時間的示例範圍為0.01至0.3秒,或0.5至1.5秒,或0.5至1秒。RF電漿時間可以替代地或者也可以相對於介電質前驅物劑量時間來表徵。在各種實施例中,介電質前驅物劑量時間可以是電漿轉化時間的至少2倍、3倍、4倍或5倍長。
基板溫度的示例範圍從50°C到650°C。
本文所述的範圍包括它們的端點。
可藉由使用兩個電容耦合板將射頻(RF)場施加到氣體來產生電漿。藉由RF場對板間氣體的離子化而點燃電漿,在電漿放電區域產生自由電子。這些電子被RF場加速並可能與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可能形成參與沉積製程的自由基化學物。應當理解,RF場可以通過任何合適的電極耦合。
在形成氣隙之後,可以執行各種操作。在一些實施例中,例如,蓋層可以沉積在介電材料上。在一些實施例中,介電膜可以藉由電漿增強化學氣相沉積(PECVD)來沉積。圖6示顯出具有如上所述形成的氣隙608的結構,其中介電PECVD膜617沉積在介電材料603上。由於PEALD沉積,以位於介電材料603中的氣隙608為中心的凹痕619被平滑化。儘管圖6中未顯示,介電PECVD膜617可包括以凹痕619為中心的凹痕,但與介電材料603中的高度相比,它們的高度可降低例如至少20%至90%。在蝕刻和CMP步驟中,深凹痕會導致從結構頂部去除的材料量不均勻,從而導致從經填充的特徵部中去除過多的材料,而產生影響裝置性能的缺陷。藉由沉積諸如介電PECVD膜617的蓋層確保在隨後的平坦化期間,間隙保持填滿且如圖6所示結果的平坦化的介電膜621。在其他實施例中,可以藉由熱式CVD來沉積蓋層。藉由CVD(PECVD或熱式CVD)沉積讓厚膜快速沉積,其優先填充凹痕,從而形成更平坦的膜,減少隨後製程中產生缺陷的頻率。在某些操作中,CVD操作與抑制和ALD操作在同一腔室中執行。此大幅減少了傳輸操作和處理工具的數量。蓋層的示例性厚度範圍為1-3 kÅ。在一些實施例中,可以沉積更薄的層,例如100-1000Å。
出於本文的目的,特徵部頂部「附近」、結構頂部附近或間隙頂部附近表示位於從形成間隙側壁的特徵部之頂部垂直測量之總深度的25%內或10%內的間隙中之區域。特徵部底部「附近」表示位於從特徵部底部垂直測量之總深度的25%內或10%內的間隙中之區域。
實驗
使用PEALD製程將氧化矽沉積到特徵部之間的間隙中。在RF電漿期間,O 2是氧化劑並與5000 sccm He和5000 sccm H 2一起供應。將O 2流速變化,而所有其他沉積參數不變。
O 2供應 100 sccm 200 sccm 500 sccm 1000 sccm
平均氣隙面積 約370 nm 2 約270 nm 2 約180 nm 2 約150 nm 2
隨著H 2:O 2比率從50:1降低到10:1,氣隙面積減小。對於10:1和5:1比率,所有氣隙均在特徵部下方。使用N 2O作為氧化劑觀察到類似的趨勢。在相同流速下,N 2O的共形性低於O 2,從而導致更大的氣隙。
使用PEALD製程將氧化矽沉積到特徵部之間的間隙中。在保持所有其他參數不變的情況下改變RF功率。在RF電漿期間,氣流為200 sccm O 2、5000 sccm He和5000 sccm H 2
每站之HF RF功率 200 W 375 W 750 W
平均氣隙面積 約270 nm 2 約230 nm 2 約190 nm 2
隨著RF功率的增加,氣隙面積減小且共形性增加。氣隙可以在更高的電漿功率下形成,其中對於四站和300mm晶圓的示例性功率為200W-3000W。 儀器
圖7繪示原子層沉積(ALD)處理站700的實施例的示意圖,其具有用於維持低壓環境的處理室主體702。多個ALD處理站700可包含在共同的低壓處理工具環境中。例如,圖7繪示多站處理工具700的實施例。在一些實施例中,ALD處理站700的一個或多個硬體參數,包括下面詳細討論的那些,可以由一個或多個系統控制器750以編程方式調整。
ALD處理站700與反應物輸送系統701a流體連通,用於將製程氣體輸送到分配噴淋頭706。反應物輸送系統701a包括混合容器704,用於混合及/或調節用於輸送至噴淋頭706的製程氣體。在一些實施例中,製程氣體可以在引入腔室主體702之前引入混合容器,例如若有提供載氣的情況。在一些實施例中,製程氣體可直接輸送到腔室主體702。一個或多個混合容器入口閥720可控制製程氣體到混合容器704的引入。這些閥可根據反應氣體、抑制氣體或載氣是否會在各種操作過程中打開來控制。在一些實施例中,可以藉由使用抑制液體及使用經加熱的汽化器汽化來產生抑制氣體。
作為示例,圖7的實施例包括用於汽化待供應至混合容器704的液體反應物的汽化點703。在一些實施例中,汽化點703可以是經加熱的汽化器。由此類汽化器產生的飽和反應物蒸氣可能會在下游輸送管道中冷凝。不相容氣體暴露於經冷凝的反應物可能會產生小顆粒。這些小顆粒可能會堵塞管道、阻礙閥操作、污染基材等。解決這些問題的一些方法包括淨化及/或抽空輸送管道以去除殘留的反應物。然而,淨化輸送管道可能會增加處理站的循環時間,從而降低處理站的產量。因此,在一些實施例中,汽化點703下游的輸送管道可以是伴熱的。在一些示例中,混合容器704也可以是伴熱的。在一個非限制性示例中,汽化點703下游的管道在混合容器704處具有從約100°C延伸至約150°C的遞增溫度曲線。
在一些實施例中,液體前驅物或液體反應物,例如含矽前驅物,可以在液體注射器處汽化。例如,液體注射器可將液體反應物之脈衝注射到混合容器上游的載氣流中。在一實施例中,液體注射器可以藉由將液體從較高壓力閃蒸至較低壓力來汽化反應物。在另一示例中,液體注射器可以將液體霧化成分散的微滴,這些微滴隨後在經加熱的輸送管中汽化。較小的液滴可能比較大的液滴汽化得更快,從而減少液體注入和完全汽化之間的延遲。更快的汽化可以減少汽化點703下游的管道長度。在一種情況下,液體注射器可以直接安裝到混合容器704。在另一種情況下,液體注射器可以直接安裝到噴淋頭706。
在一些實施例中,可以在汽化點703上游提供液體流量控制器(LFC),其用於控制用於汽化和輸送至處理站700之液體的質量流量,例如,LFC可包括位於LFC下游的熱式質量流量計(MFM)。接下來,LFC的活塞閥可以被調整以回應與MFM電通信中的比例積分微分(PID)控制器提供的回饋控制訊號。然而,可能需要一秒或更長時間使用回饋控制以穩定液體流動,此可能會延長配給液體反應物的時間。因此,在一些實施例中,LFC可以在回饋控制模式和直接控制模式之間動態切換。在一些實施例中,此可以通過停用LFC和PID控制器的感測管來執行。
噴淋頭706向基板712分配氣體。例如,在各種操作中,噴淋頭706可以向基板712分配含矽前驅物氣體,或向腔室主體702分配淨化氣體或載氣,向基板712分配共反應物,及/或分配稀釋氣體到基板712。在圖7所示的實施例中,基板712位於噴淋頭706下,並顯示為置於基座708上。噴淋頭706可以具有任何合適的形狀,且可以具有用於將製程氣體分配到基板712的任何合適數量和配置的埠。
在一些實施例中,微體積位於噴淋頭706下。在微體積而不是在處理站的整個體積中實施所揭露的實施例可以減少反應物暴露和淨化時間,可以減少改變製程條件(例如,壓力、溫度等)的時間,可能會限制處理站機器人暴露於製程氣體等。示例性微體積尺寸包括但不限於介於0.1升和2 升之間的體積。此也會影響生產力產量。在一些實施例中,所揭露實施例不在微體積中執行。
在一些實施例中,可升高或降低基座708以將基板712暴露於微體積及/或改變微體積的體積。例如,在基板轉移階段,可升高基座708以定位基板712在微體積內。在一些實施例中,微體積可以完全包圍基板712以及基座708的一部分以產生高流阻的區域。
可選地,基座708可以在製程的某些部分期間降低及/或升高以調節微體積內的製程壓力、反應物濃度等。在處理室主體702在製程期間保持基礎壓力的情況下,降低基座708可以使微體積被抽空。微體積與處理室體積的示例比率包括但不限於介於1:700和1:10之間的體積比率。應當理解,在一些實施例中,可以藉由合適的電腦控制器750以編程方式調整基座高度。
在另一種情況下,調節基座708的高度可以讓電漿激發過程中改變電漿密度。在製程階段結束時,基座708可以在另一基板轉移階段期間降低讓基板712從基座708移除。
雖然本文描述的示例性微體積變化指的是高度可調的基座708,但應當理解,在一些實施例中,噴淋頭706的位置可以相對於基座708進行調整,以改變微體積的體積。此外,應當理解,基座708及/或噴淋頭706的垂直位置可以藉由本揭露範圍內的任何合適機制改變。在一些實施例中,基座708可以包括用於旋轉基板712方向的旋轉軸。應當理解,在一些實施例中,這些示例性調整中的一者或多者可以由一個或多個合適的控制器750以編程方式執行。
用於ALD製程的電漿可以藉由使用兩個電容耦合板將射頻(RF)場施加到氣體來產生。藉由射頻場對板間氣體離子化點燃電漿,在電漿放電區域產生自由電子。這些電子被RF場加速並可能與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可能形成參與沉積製程的自由基化學物。應當理解,RF場可以通過任何合適的電極耦合。電極的非限制性示例包括製程氣體分配噴淋頭和基板支撐基座。應當理解,用於ALD製程的電漿可以藉由一種或多種合適的方法形成,而不是RF場與氣體的電容耦合。在一些實施例中,電漿是遠程電漿,使得第二反應物在站上游的遠程電漿產生器中被點燃,然後輸送到容納基板的站。
噴淋頭706和基座708與射頻(RF)電源714和匹配網路716電通信,以用於為電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體濃度、氣體分壓或氣體流速、RF源功率、RF源頻率和電漿功率脈衝定時中的一者或多者以控制電漿能量。例如,RF電源714和匹配網路716可以在任何合適的功率下操作以形成具有期望的離子能量。合適能量的示例包含於上述。同樣地,RF電源714可以提供任何合適頻率的RF功率。在一些實施例中,RF電源714可以被配置以彼此獨立地控制高頻和低頻RF電源。示例的低頻RF頻率可以包括但不限於0 kHz至500 kHz。示例的高頻RF頻率可以包括但不限於1.8 MHz至2.45 GHz、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz。應當理解,可以離散地或連續地調整任何合適的參數為表面反應提供電漿能量。在一個非限制性示例中,電漿功率可以間歇地脈衝以相對於連續供電的電漿減少對基板表面的離子轟擊。在替代的實施例中,可以使用遠程電漿產生器。
ALD處理站700也可以用於CVD製程。
在一些實施例中,電漿可以由一個或多個電漿監測器原位監測。在一種情境下,電漿功率可由一個或多個電壓、電流感測器(例如VI探針)監測。在另一種情況下,電漿密度及/或製程氣體濃度可以藉由一個或多個光學放射光譜感測器(OES)來測量。在一些實施例中,一個或多個電漿參數可以基於來自所述原位電漿監測器的測量以編程方式調整,例如,OES感測器可以用在回饋迴路中以提供電漿功率的編程控制。應當理解,在一些實施例中,可以使用其他監測器來監測電漿和其他製程特性。所述監測器可以包括但不限於紅外線(IR)監測器、聲學監測器和壓力傳感器。
在一些實施例中,可以經由輸入/輸出控制(IOC)排序指令以提供用於控制器750的指令。在一個示例中,用於為製程階段設置條件的指令可以包含在製程配方的相應配方階段中。在一些情況下,製程配方階段可以依序排列,使得製程階段的所有指令與該製程階段同時執行。在一些實施例中,用於設置一個或多個反應器參數的指令可以包含在配方階段中,例如,第一配方階段可以包括用於設置惰性及/或反應氣體(例如第一前驅物,諸如二矽烷)的流速的指令、用於設置載氣(例如氬)的指令,以及第一配方階段的時間延遲指令。第二(隨後的)配方階段可以包括用於調節或停止惰性及/或反應氣體的流速的指令、用於調節載氣或淨化氣體的流速的指令,以及第二配方階段的時間延遲指令。第三配方階段可包括用於設定惰性氣體、抑制劑及/或反應氣體(其可與第一配方階段中使用的氣體相同或不同)的流速的指令、用於調節載氣的流速的指令,以及第三配方階段的時間延遲指令。第四配方階段可包括用於調節或停止惰性及/或反應氣體的流速的指令(例如諸如氮或含氮或含氧氣體之第二反應物)、用於調節載氣或淨化氣體的流速的指令以及第四配方階段的時間延遲指令。應當理解,這些配方階段可以在本揭露範圍內以任何合適的方式進一步細分及/或重複。
在一些實施例中,基座708可以通過加熱器710進行溫度控制。此外,在一些實施例中,用於處理站700的壓力控制可以由蝶閥718提供。如圖7的實施例所示,蝶閥718節流由下游真空泵(未示出)提供的真空。然而,在一些實施例中,也可藉由改變引入至處理站700的一種或多種氣體的流速來調節處理站700的壓力控制。
如上所述,一個或多個處理站可以包含在多站處理工具中。圖8繪示了多站處理工具800的實施例的示意圖,多站處理工具800具有入站加載互鎖802和出站加載互鎖804,其中一個或兩個可以包括遠程電漿源。在一大氣壓下,機器人806被配置為將基板或晶圓從通過傳送盒808裝載的匣經由大氣埠移動至入站加載互鎖802中。基板藉由機器人806放置在入站加載互鎖802中的基座812上,大氣埠關閉,且加載互鎖被抽空。在入站加載互鎖802包括遠程電漿源的情況下,晶圓可以在被導入處理室814之前暴露於加載互鎖中的遠程電漿處理。此外,基板也可以在入站加載互鎖802中被加熱,例如去除水分和所吸附的氣體。接著,打開通向處理室814的腔室輸送埠816,另一台機器人810將基板放入在第一站的基座上之反應器內以進行處理,雖然圖8中繪示的實施例包括加載互鎖,但應當理解,在一些實施例中,可提供基板直接進入處理站。在各種實施例中,當基板藉由機器人806置於基座812上時,浸泡氣體被引入站。
所示處理室814包括四個處理站,在圖8所示的實施例中編號為1至4。各站具有經加熱的基座(對於站1以818所示)和氣體管線入口。應當理解,在一些實施例中,各處理站可以具有不同或多個目的,例如,在一些實施例中,處理站可以在ALD和PEALD製程模式之間切換。額外地或替代地,在一些實施例中,處理室814可包括一對或多對匹配的ALD和電漿增強ALD處理站。雖然所示的處理室814包括四個站,但應當理解,根據本揭露之處理室可具有任何合適數目的站,例如,在一些實施例中,處理室可具有五個或更多站,而在其他實施例中,處理室可具有三個或更少站。
圖8還繪示了用於控制製程條件和處理工具800的硬體狀態的系統控制器850的一實施例。系統控制器850可以包括一個或多個記憶裝置856、一個或多個大量資料儲存裝置854,以及一個或多個處理器852。處理器852可以包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。在一些實施例中,系統控制器850包括用於執行諸如本文描述的操作的機器可讀指令。
在一些實施例中,系統控制器850控制處理工具800的所有活動。系統控制器850執行儲存在大量資料儲存裝置854中的系統控制軟體858、加載至記憶裝置856中並在處理器852上執行。或者,控制邏輯可以硬編碼在系統控制器850中。專用積體電路(Application Specific Integrated Circuit)、可編程邏輯裝置(Programmable Logic Device,例如,現場可編程邏輯閘陣列(或稱為FPGA))和其類似物可以用於這些目的。在下面的討論中,無論哪裡使用「軟體」或「代碼」,都可以使用功能相當的硬編碼邏輯來替代。系統控制軟體858可以包括用於控制時間、氣體混合物、氣體流速、腔室及/或站壓力、腔室及/或站溫度、基板溫度、目標功率等級、RF功率等級、基板基座、卡盤及/或承載盤位置,以及藉由處理工具800執行的特定製程的其他參數。系統控制軟體858可以任何合適的方式配置。例如,可以編寫各種處理工具元件子程序或控制物件以控制用於執行各種處理工具的處理工具元件的控制操作。系統控制軟體858可以任何合適的電腦可讀編程語言編碼。
在一些實施例中,系統控制軟體858可以包括用於控制上述各種參數的輸入/輸出控制(IOC)排序指令。在一些實施例中可以採用儲存在與系統控制器850相關聯的大量資料儲存裝置854及/或記憶裝置856上的其他電腦軟體及/或程序。用於該目的的程序或部分程序的示例包括基板定位程序、製程氣體控制程序、壓力控制程序、加熱器控制程序和電漿控制程序。
基板定位程序可以包括用於處理工具元件的編程代碼,其用於將基板加載至基座818上且控制基板與處理工具800的其他部件之間的間距。
製程氣體控制程序可以包括用於控制氣體成分(例如,本文所述含矽前驅物、共反應物、稀釋氣體及淨化氣體)和流速的代碼以及可選地在沉積之前使氣體流入一個或多個處理站以穩定處理站中的壓力。壓力控制程序可以包括藉由調節例如處理站的排氣系統中的節流閥、進入處理站的氣體流量等以控制處理站中的壓力的代碼。
加熱器控制程序可以包括用於控制到用於加熱基板的加熱單元之氣流的代碼。或者,加熱器控制程序可以控制傳熱氣體(例如氦氣)至基板的輸送。
根據本文的實施例,電漿控制程序可以包括用於設定施加至一個或多個處理站中的製程電極的RF功率等級的代碼。
根據本文的實施例,壓力控制程序可以包括用於維持反應腔室中的壓力的代碼。
在一些實施例中,可以有與系統控制器850相關的使用者界面。使用者界面可以包括顯示螢幕、設備及/或製程條件的圖形軟體顯示,以及使用者輸入裝置諸如點擊裝置、鍵盤、觸控螢幕、麥克風等。
在一些實施例中,藉由系統控制器850調整的參數可以與製程條件有關。非限制性示例包括製程氣體成分和流速、溫度、壓力、電漿條件(例如,RF偏壓功率等級)等。這些參數可以配方的形式提供給使用者,其可以使用者界面輸入。
用於監測製程的訊號可以由來自各種處理工具感應器的系統控制器850的類比及/或數位輸入連接提供,用於控制製程的該些訊號可以在處理工具800的類比及數位輸出連接上輸出,可以監測的非限制性示例之處理工具感測器包括質量流量控制器、壓力感測器(例如壓力計)、熱電偶等,適當編程的回饋和控制演算法可以與來自這些感測器的數據一起使用以維持製程條件。
系統控制器850可以提供用於實施上述沉積製程的編程指令。編程指令可以控制各種製程參數諸如DC功率等級、RF偏壓功率等級、壓力、溫度等。該些指令可以控制參數以根據本文描述的各種實施例操作膜堆疊的原位沉積。
系統控制器850通常包括一個或多個記憶裝置和一個或多個處理器,其被配置為執行指令,使得設備將根據所揭露的實施例的方法執行。根據所揭露的實施例,包含用於控制製程操作的指令的機器可讀媒體可以耦合至系統控制器850。
在一些實施方式中,系統控制器850是系統的一部分,其可以是上述示例的一部分。所述系統可以包括半導體處理工具,其包括一個或多個處理工具、一個或多個腔室、一個或多個用於製程的平台及/或特定製程元件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合以在半導體晶圓或基板的製程之前、過程中及之後控制它們的操作。電子設備可稱為「控制器」,其可控制一個或多個系統的各種元件或子部分。根據製程條件及/或系統類型,系統控制器850可以被編程以控制任何本文所揭露的製程,包括製程氣體及/或抑制氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓進出儀器和其他轉移儀器之轉移及/或連接至或互接至特定系統的加載互鎖。
廣義來說,系統控制器850可以被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等,積體電路可包括儲存編程指令之硬體型態的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片及/或一個或多個執行編程指令(例如軟體)的微處理器或微控制器。編程指令可以是以各種個別設定(或編程檔案)的形式傳送至系統控制器850的指令,定義用於在半導體晶圓(或系統)上或為半導體晶圓(或系統)進行特定製程的操作參數。在一些實施例中,操作參數可以是製程工程師所定義的配方的一部分,以在製造一個或多個層體、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓晶片之過程中完成一種或多種製程步驟。
在一些實施方式中,系統控制器850可以是電腦的一部分或耦合至電腦,該電腦與系統整合並耦合至系統、以其他方式連線至系統或前述之組合。例如,系統控制器850可以在「雲端」或者在晶圓廠主電腦系統之全部或一部分中,其可允許晶圓製程的遠程存取。電腦能夠對系統遠程存取以監控製程操作的當前進度、檢驗過去製程操作的歷史、檢驗來自多個製程操作的趨勢或效能指標、改變當前製程的參數、設定當前製程之後的製程步驟,或開始新的製程。在一些示例中,遠程電腦(例如伺服器)可以通過網路向系統提供製程配方,該網路可以包括區域網路或網際網路。遠程電腦可以包括使用者界面,該使用者界面能夠輸入或編程參數及/或設定,該參數及/或該設定然後從遠程電腦傳送到系統。在一些示例中,系統控制器850接收數據形式的指令,其指定用於在一個或多個操作過程中要執行的每個製程步驟的參數。應當理解的是,參數可以指定於要執行的製程的類型以及與系統控制器850互接或系統控制器850控制的工具的類型。因此,如上所述,系統控制器850可以是分散式的,例如包括一個或多個聯網在一起並以相同目的(例如本文所述的製程和控制)運轉之分離控制器。用於所述目的的分散式控制器的一個示例是在一個腔室上的一個或多個積體電路與一個或多個位於遠程(例如為平台等級或作為遠程電腦的一部分)的積體電路互連,所述互連組合以控制在該腔室的製程。
在沒有限制的情況下,示例性系統可以包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、徑跡腔室或模組,以及任何其他可能與半導體晶圓之製造及/或生產相關的半導體製程系統或任何其他可能用於半導體晶圓之製造及/或生產的半導體製程系統。
如上所述,取決於工具要執行的一或多個處理步驟,系統控制器850可以與下列一或多個通信:其他工具電路或模組、其他工具組件、叢集工具、其他工具介面、相鄰工具、鄰近工具、遍布工廠各處的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載埠之材料運輸的工具。
本文描述的設備/製程可以與微影圖案化工具或製程結合使用,例如,用於製造或製造半導體裝置、顯示器、LED、光伏面板等。通常,但不一定,所述工具/製程將在共同的製造設施中一起使用或進行。膜的微影圖案化通常包括以下部分或全部操作,每個操作使用多種可能的工具來實現:(1)使用旋塗或噴塗工具在工件(即基板)上施加光阻;(2)使用加熱板或爐子或UV固化工具固化光阻;(3)用諸如晶圓步進機之工具將光阻暴露於可見光或UV或x-ray光;(4)將阻劑顯影以選擇性地除去阻劑,從而使用濕式工作台之工具進行圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將阻劑圖案轉移到下層薄膜或工件;以及(6)使用諸如RF或微波電漿阻劑剝除劑之工具去除阻劑。 結論
雖然為了清楚理解目的已經對前述實施例進行了一些詳細描述,但顯然可以在所附申請專利範圍內實施某些改變和修改。應當注意,存在許多替代方式以實現本實施例的製程、系統和設備。因此,本實施例應被認為是示例性的而非限制性的,且實施例不限定於本文所記載之內容。
201:基板 203:介電材料 205:特徵部 206:開放間隙 208:氣隙 215:側壁表面 217:底表面 503:介電材料 508:氣隙 515:突懸 603:介電材料 608:氣隙 617:介電PECVD膜 619:凹痕 621:介電膜 700:ALD處理站(多站處理工具) 701a:反應物輸送系統 702:主體 703:汽化點 704:混合容器 706:噴淋頭 708:基座 710:加熱器 712:基板 714:RF電源 716:匹配網路 718:蝶閥 720:混合容器入口閥 750:控制器 800:處理工具 802:入站加載互鎖 804:出站加載互鎖 806:機器人 808:傳送盒 810:機器人 812:基座 814:處理室 816:腔室輸送埠 818:基座 850:系統控制器 852:處理器 854:大量資料儲存裝置 856:記憶裝置 858:系統控制軟體
圖1顯示形成氣隙的示例性方法的製程流程圖。
圖2包括在形成氣隙之前和之後的特徵部的橫剖面示意圖。
圖3是實施作為形成氣隙的方法之一部分的單一電漿增強原子層沉積循環的製程流程圖。
圖4是可根據本文所述的實施例實施的電漿增強原子層沉積(PEALD)站處的氣體和電漿RF時序的示例。
圖5包括特徵部在形成氣隙之前和之後的橫剖面示意圖的示例。
圖6包括在平坦化之前和之後具有氣隙的特徵部的橫截面示意圖的示例。
圖7是可用於執行本文所述方法的原子層沉積(ALD)處理站的實施例的示意圖。
圖8是可用於執行本文所述方法的多站處理工具的實施例的示意圖。

Claims (19)

  1. 一種形成間隙之方法,包括: 提供包括特徵部和在該些特徵部之間的一開放間隙的一結構,該開放間隙包括側壁和底表面;以及 執行多個電漿增強原子層沉積(PEALD)循環,每個循環包括: a) 暴露該結構於一劑量之含矽前驅物讓該含矽前驅物吸附在該開放間隙的側壁和底表面上;以及 b) 暴露該經吸附的含矽前驅物於由包括一共反應物和一種或多種稀釋氣體的一製程氣體產生的一電漿,以使該共反應物與該經吸附的含矽前驅物反應並形成一介電材料,其中該介電材料優先形成在該開放間隙的頂部附近,其中該一種或多種稀釋氣體與該共反應物的體積流速比為至少5:1。
  2. 如請求項1所述之形成間隙之方法,還包括以經沉積的介電材料封閉該開放間隙,從而在該些特徵部之間形成一封閉氣隙。
  3. 如請求項2所述之形成間隙之方法,其中該封閉氣隙的頂部低於該些特徵部的頂部。
  4. 如請求項2所述之形成間隙之方法,其中該封閉氣隙的頂部比該些特徵部的頂部低至少5奈米。
  5. 如請求項1所述之形成間隙之方法,還包括在步驟(a)和步驟(b)之間淨化容納該結構的一腔室。
  6. 如請求項1所述之形成間隙之方法,其中該一種或多種稀釋氣體與該共反應物的體積流速比至少為10:1。
  7. 如請求項1所述之形成間隙之方法,其中該一種或多種稀釋氣體與該共反應物的體積流速比至少為20:1。
  8. 如請求項1所述之形成間隙之方法,其中該一種或多種稀釋氣體與該共反應物的體積流速比介於5:1和50:1之間。
  9. 如請求項1所述之形成間隙之方法,其中該共反應物是含氧氣體。
  10. 如請求項1所述之形成間隙之方法,其中該共反應物是一氧化二氮(N 2O)或氧氣(O 2)。
  11. 如請求項1所述之形成間隙之方法,其中該共反應物是O 2和N 2O。
  12. 如請求項1所述之形成間隙之方法,其中該共反應物是氮氣(N 2)。
  13. 如請求項1所述之形成間隙之方法,其中在每個循環中步驟(a)的持續時間是步驟(b)的持續時間的至少兩倍。
  14. 如請求項2所述之形成間隙之方法,其中該開放間隙具有一第一面積且該封閉氣隙佔據該第一面積的至少80%。
  15. 如請求項14所述之形成間隙之方法,其中該封閉氣隙佔據該第一面積的至少90%。
  16. 一種形成間隙之方法,包括: 提供包括特徵部和在該些特徵部之間的一開放間隙的一結構,該開放間隙具有一第一面積且包括側壁和底表面;以及 執行多個電漿增強原子層沉積(PEALD)循環以優先在該開放間隙的頂部沉積介電材料,從而在該些特徵部之間形成一封閉氣隙,每個循環包括: a) 暴露該結構於一劑量之一含矽前驅物讓該含矽前驅物吸附在該開放間隙的側壁和底表面上;以及 b) 暴露該經吸附的含矽前驅物於由包括一共反應物和一種或多種稀釋氣體的一製程氣體產生的一電漿,以使該共反應物與該經吸附的含矽前驅物反應並形成該介電材料, 其中,該封閉氣隙是在沒有蝕刻或抑制操作的情況下形成的,且該封閉氣隙佔據該第一面積的至少80%。
  17. 如請求項16所述之形成間隙之方法,其中該封閉氣隙佔據該第一面積的至少90%。
  18. 如請求項16所述之形成間隙之方法,其中該一種或多種稀釋氣體與該共反應物的體積流速比為至少10:1。
  19. 如請求項16所述之形成間隙之方法,其中該一種或多種稀釋氣體與該共反應物的體積流速比為至少20:1。
TW112109682A 2022-03-18 2023-03-16 半導體裝置中電容的降低 TW202405224A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263269604P 2022-03-18 2022-03-18
US63/269,604 2022-03-18
US202263365425P 2022-05-27 2022-05-27
US63/365,425 2022-05-27

Publications (1)

Publication Number Publication Date
TW202405224A true TW202405224A (zh) 2024-02-01

Family

ID=88024456

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112109682A TW202405224A (zh) 2022-03-18 2023-03-16 半導體裝置中電容的降低

Country Status (2)

Country Link
TW (1) TW202405224A (zh)
WO (1) WO2023178273A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9396989B2 (en) * 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9859151B1 (en) * 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10037884B2 (en) * 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Also Published As

Publication number Publication date
WO2023178273A1 (en) 2023-09-21

Similar Documents

Publication Publication Date Title
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
US11670503B2 (en) Method of atomic layer deposition
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
TWI612172B (zh) 用於電漿活化保形膜沉積之前驅物
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
TW202405224A (zh) 半導體裝置中電容的降低
TW202418351A (zh) 表面抑制原子層沉積
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
TW202346626A (zh) 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
TW202319569A (zh) 含矽膜的電漿增強型原子層沉積
TW202409322A (zh) 橫向間隙填充
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積
TW202403079A (zh) 含金屬膜的沉積及腔室清潔
CN117616540A (zh) 含硅膜的等离子体增强型原子层沉积