TW202346626A - 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程 - Google Patents

用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程 Download PDF

Info

Publication number
TW202346626A
TW202346626A TW112105117A TW112105117A TW202346626A TW 202346626 A TW202346626 A TW 202346626A TW 112105117 A TW112105117 A TW 112105117A TW 112105117 A TW112105117 A TW 112105117A TW 202346626 A TW202346626 A TW 202346626A
Authority
TW
Taiwan
Prior art keywords
pressure
processing chamber
processing
gas
plasma
Prior art date
Application number
TW112105117A
Other languages
English (en)
Inventor
伊萊 錢
道格拉斯 華特 阿格紐
濕婆 沙蘭 班達里
伊恩 約翰 科廷
喬瑟夫 R 亞伯
傑生 亞歷山大 瓦內爾
科迪 巴奈特
克里斯托弗 尼可拉斯 亞丹扎
達斯廷 查克里 奧斯汀
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202346626A publication Critical patent/TW202346626A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本文揭示利用介電質材料填充間隙的方法,包括在沉積期間使用抑制性電漿。該抑制性電漿提高已沉積膜的成核能障。該抑制性電漿在特徵部的頂部附近產生相互作用,以相比於特徵部的底部而抑制特徵部的頂部處的沉積,強化從下而上的沉積。處理腔室可以具有複數壓力開關,能夠在沉積過後利用比沉積期間更高的壓力進行處理。

Description

用以改善膜接縫品質及WER的高壓惰性氧化及原位退火製程
本發明係關於用以改善膜接縫品質及WER的高壓惰性氧化及原位退火製程。
許多半導體裝置的製造處理係涉及膜的形成,而所述膜係包括含矽膜,例如矽氧化物或矽氮化物。電漿增強原子層沉積(ALD)可以用於沉積含矽膜。在將膜沉積於間隙中時,要沉積高品質的膜可能係特別具有挑戰性的。這些挑戰可能係包括在這些膜中的空隙及/或接縫的形成。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果,至此先前技術段落的所述範圍,以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
本文揭示膜沉積方法及系統。在本文中的實施例的一個態樣中係提供一種方法,包括:執行下列步驟的第一組一或更多循環:將該基板暴露於包含抑制性氣體的電漿,以抑制該間隙的一部分上的沉積;及在(a)後,在該間隙中沉積介電質材料;及在執行該第一組一或更多循環後,在該間隙中沉積額外介電質材料,其中該處理腔室在(a)及(b)期間的壓力係至少為8 Torr。
在一些實施例中,該處理腔室在(a)及(b)期間的該壓力係介於約8 Torr與約30 Torr之間。在一些實施例中,該處理腔室在(a)及(b)期間的該壓力係介於約8 Torr與約100 Torr之間。在一些實施例中,該處理腔室在(b)期間的該壓力係與該處理腔室在(a)期間的該壓力不同。在一些實施例中,該處理腔室在(b)期間的該壓力係與該處理腔室在(a)期間的該壓力相同。在一些實施例中,在(b)期間沉積介電質材料的步驟係使用原子層沉積(ALD)處理執行。在一些實施例中,該ALD處理係電漿增強ALD處理。在一些實施例中,更包括在(a)之前,使用ALD處理該間隙中沉積保形襯墊膜。
在一些實施例中,該抑制性氣體係含鹵素氣體。在一些實施例中,該抑制性氣體係含氮氣體。在一些實施例中,該處理腔室在(a)及(b)期間的溫度係介於約200°C與約800°C之間。在一些實施例中,該電漿具有每一基板介於約250 W與約1500 W之間的高頻成分。在一些實施例中,該電漿具有每一基板介於約250 W與約1250 W之間的低頻成分。在一些實施例中,該介電質材料係含矽材料。在一些實施例中,該介電質材料係矽氧化物。
在本文中的實施例的另一個態樣中係揭示一種系統,包括:處理腔室;第一閥,控制第一處理氣體進入該處理腔室的流動;第二閥,控制第二處理氣體進入該處理腔室的流動;控制器,配置以:判斷該處理腔室的壓力超出第一閾值;及使第一閥將該第一處理氣體的流動轉向而不流入該處理腔室,其中該第二閥係維持將該第二處理氣體流入該處理腔室。
在一些實施例中,該系統更包括第一壓力開關,其中該第一壓力開關係配置以當該處理腔室超出該第一閾值時自動將該第一處理氣體轉向。在一些實施例中,該系統更包括第二壓力開關,其中該第二壓力開關係配置以當該處理腔室超出第二閾值時自動將該第二處理氣體轉向,其中該第二閾值係高於該第一閾值。在一些實施例中,該第一閾值係約為30 Torr。在一些實施例中,該控制器係進一步配置以判斷該處理腔室的壓力超出比該第一閾值更高的第二閾值,以及基於判斷該壓力超出該第二閾值而使該第二閥將該第二處理氣體的流動轉向而不流入該處理腔室。在一些實施例中,該第二閾值係約為550 Torr。在一些實施例中,該第一處理氣體係包括危險生產材料。在一些實施例中,該第一處理氣體係包括含矽前驅物。在一些實施例中,該第二處理氣體係包括惰性氣體。
所揭示實施例的這些及其他特徵將參照相關圖式而詳細描述於下。
在下方敘述中,數具體細節係闡述以提供對所呈現實施例的透徹理解。所揭露實施例可在不具一些或所有這些具體細節的情況下實施。在其他實例中,並未詳細描述習知的處理操作以免不必要地模糊所揭露的實施例。雖然所揭露實施例將結合特定實施例進行描述,但將能理解的是這些特定實施例的用意並非在於限制所揭露的實施例。
半導體製造處理通常係包括介電質間隙填充,其係使用化學氣相沉積(CVD)方法及/或原子層沉積(ALD)方法以填充特徵部。本文所描述的係利用介電質材料及相關系統和設備以填充特徵部的方法,其中所述介電質材料係包括但不限於含矽膜,例如矽氧化物、矽氮化物、矽碳化物等。本文所述的方法可以用於將基板中形成的垂直定向特徵部進行填充。這樣的特徵部可以被稱作間隙、凹陷特徵部、負型特徵部、未填充特徵部,或是單純稱為特徵部。將這樣的特徵部進行填充的動作可以被稱作間隙填充。在基板中形成的特徵部的特徵可以在於窄及/或重入(reentrant)開口、該特徵部內的收縮,以及高深寬比的其中一或多者。在一些實行例中,特徵部可以具有至少約2:1、至少約4:1、至少約6:1、至少約20:1、至少約100:1或更大的深寬比。該基板可以是矽晶圓,例如200 mm晶圓、300 mm晶圓或450 mm晶圓,包括上方沉積著一或多層材料(例如,介電質材料、導電材料或半導體材料)的晶圓。
本揭示的一個態樣係涉及一種在間隙中進行介電質材料的原子層沉積(ALD)期間使用抑制性電漿的方法,其有助於在高壓下進行無空隙的底部間隙填充。該抑制性電漿會產生鈍化表面,以及使已沉積ALD膜的成核能障提高。當該抑制性電漿與該特徵部中的材料產生相互作用時,由於幾何遮蔽效應,位於該特徵部的底部處的材料會比靠近該特徵部的頂部或場(field)中的材料接受較少的電漿處理。作為其結果,該特徵部的頂部處的沉積被選擇性地抑制,而該特徵部的下方部分中的沉積則以較少抑制或未被抑制的方式進行。其結果係強化從下往上的填充,而這係產生更有利的傾斜輪廓而緩解接縫效應及抑制空隙形成。含鹵素電漿可以是有效的抑制性電漿。舉例而言,對於某些應用而言,與分子氮(N 2)生成的電漿相比,三氟化氮(NF 3)所生成的電漿可以在明顯減少的時間內提供抑制效果。本文所描述的是以減少空隙尺寸及/或形成的方式填充特徵部的方法。
高壓可以指涉大於約8 Torr、大於約10 Torr、介於約10 Torr與約30 Torr之間,或是介於約10 Torr與約100 Torr之間的壓力。通常,沉積速率係隨著壓力的增加而提高。然而,在以前,執行該抑制性電漿處理時的壓力係比起執行用以沉積介電質材料的ALD處理的壓力更低。在ALD循環與該抑制性電漿處理之間改變處理腔室的壓力係需要大量時間,而這會使產量降低。因此,本實施例允許該ALD處理及該抑制性電漿在相同的壓力下執行,或是兩者皆在不同的高壓(如上所述)下執行,從而使改變腔室壓力所耗費的時間降低,並且提高產量及每一循環的膜沉積速率。高壓性電漿處理亦可以提高產量,因為較高的壓力會增加給定處理的抑制深度,從而減少抑制處理對特徵部(尤其是深寬比約為100:1以上或約為180:1以上的高深寬比特徵部)進行抑制所需的時間。
圖1係一處理流程圖,其係說明利用介電質材料填充間隙的方法。該方法係開始於在操作101中提供一結構,該結構係具有待填充的一或更多間隙。該結構可以由基板上所沉積的一或多層材料形成。該基板可以是矽晶圓或其他半導體晶圓,例如200 mm晶圓、300 mm晶圓或450 mm晶圓,包括上方沉積著一或多層材料(例如,介電質材料、導電材料或半導體材料)的晶圓。這些方法也可以應用於其它基板(例如,玻璃、塑膠等)的間隙的間隙填充,包括在微機電(MEMS)裝置的製造中。
結構示例包括3D NAND結構、DRAM結構、場效電晶體(FET)結構及淺溝槽隔離(STI)結構。這些結構係包括間隙,其中這些間隙的側壁係由容易被蝕刻及/或對下方層具有不同選擇性的材料所形成。在一示例中,3D NAND結構包括被多晶矽(poly-Si)層覆蓋的氧化物-氮化物-氧化物-氮化物(ONON)堆疊。由於氧化物層及氮化物層對於沉積多晶矽層可能具有不同的選擇性,因此該多晶矽層可能會以不同速率沉積在各層上而導致粗糙性,而該粗糙性係非所欲的,並且會在已填充的特徵部中形成空隙。側壁材料的其他示例係包括氧化物材料、金屬材料及半導體材料。本文所述的方法不限於特定類別的側壁材料。
在操作105中,使用抑制性電漿將介電質材料沉積在間隙中。如進一步討論於下,這可以涉及進行抑制性電漿接著介電質膜的ALD的循環。在一些實施例中,可以藉由涉及抑制性電漿接著介電質材料的ALD的循環的處理來填充間隙。
圖2A及2B顯示在本文中所述的間隙填充方法的各個階段期間,具有各種特徵部類型的結構200的示例。結構203、213及223提供待填充介電質材料的特徵部206a~206c。結構203具有臨界尺寸(CD)彎曲特徵部206a,結構213具有頂部重入特徵部206b,而結構223具有深度重入特徵部206c。該結構可以包括層208,而介電質材料係待沉積在該層208上。在一些實施例中,層208可以是多晶矽層或任何其他合適的層。在一些實施例中,層208是沉積在下方層(未顯示)的頂部上的保形層,例如被多晶矽層覆蓋的ONON堆疊,而該保形層形成間隙206a~206c的側壁204(在結構203中顯示一次)。
結構201、211及221繪示在ALD期間未使用抑制性電漿進行填充的間隙。結構201、211及221的各者都具有空隙202。這些空隙可能係因為保形沉積而形成,因為該特徵物的頂部係在該特徵部的較深部分被介電質材料填充之前夾止(pinch off)。結構205a~209a、215a~219a及225a~229a繪示使用抑制性電漿以利用介電質材料對結構進行填充。結構205a、215a及225a係使用保形處理(例如,不具有抑制處理的ALD處理)而填充介電質材料210a。由於具有抑制處理的ALD處理的進行速度可能會比不具有抑制處理的ALD處理的進行速度更慢,因此在最初使用不具有抑制處理的ALD處理來沉積介電質材料可能是較佳的。
結構207a、217a及227a繪示使用具有抑制處理的ALD處理而進行填充的間隙。由於抑制處理大幅減少該特徵部的頂部附近的沉積,故介電質材料212可以形成間隙216a~216c,且該間隙216a~216c具有從該間隙的頂部到該間隙的底部的漸縮輪廓。
結構209a、219a及229a繪示填充著介電質材料214的間隙。由於該等間隙係使用抑制性電漿所促進的從下往上機制進行填充,故該等特徵部的深寬比可以降低,直到不需要抑制處理來達成無空隙的間隙填充。因此,結構209a、219a及229a可以使用不具有抑制處理的ALD處理,或是例如化學氣相沉積的替代沉積技術進行填充。
圖2B呈現與圖2A中所顯示的相同初始結構203、213及223。然而,圖2B中所顯示的間隙填充處理係從針對初始間隙填充的抑制性電漿處理製程開始進行。如結構205b、215b及225b所顯示,介電質材料210b係沉積在特徵部中,且由於該特徵部的頂部附近的沉積比起該特徵部的底部係受到抑制,造成間隙218a~218c具有漸縮輪廓。結構207b、217b及227b繪示具有抑制性電漿處理的額外ALD循環,其中額外的介電質材料210b已經沉積於特徵部中。結構209b、219b及229b可以類似地使用上述的ALD或CVD處理進行填充。
圖3顯示可以根據所揭示的實施例使用的處理次序的示例。在某些實施例中可以省略一些操作(例如,浸泡302、鈍化312),而在某些實施例中可以添加操作。在圖3的示例性處理次序中,基板上的一或多個特徵部係經歷間隙填充。在一些實施例中,圖3中所述的一或多個操作可以在單一處理腔室或工具中執行。如操作302所示,該處理可以在基板被提供至沉積腔室之後進行浸泡操作302開始。舉例而言,這對於去除微粒或其他預處理可以是實用的。接著,如操作304所示,任選地將介電質材料沉積在該基板的間隙中。介電質材料可以藉由任何保形處理進行沉積,所述保形處理包括ALD、CVD或濺鍍,包括任何電漿增強處理。ALD的更多細節係討論於下。在一些實施例中,未執行操作304,且沉積可以作為抑制區塊的一部分開始進行。在一些實施例中,執行n3個抑制區塊,且顯示出抑制區塊的該等操作。抑制性電漿係如操作308所示的表面處理。如上所述,該電漿可以包括鹵素物質,包括陰離子及自由基物質,例如F -、Cl -、I -、Br -、氟自由基等。在一些實施例中,該電漿係產生自包括含鹵素氣體的抑制性氣體。在一些示例中,所述含鹵素氣體可以包括但不限於三氟化氮(NF 3)。其他抑制性氣體的電漿係可以使用的。舉例而言,可以將分子氮(N 2)、分子氫(H 2)、氨(NH 3)、胺、二醇、二胺、胺基醇、硫醇或其組合所產生的電漿使用作為抑制性電漿。在一些實施例中,除了抑制性沉積之外,流入該電漿中的物質還能夠將待沉積在該等間隙中的介電質材料進行蝕刻。
當抑制性電漿與特徵部中的材料產生相互作用時,由於幾何遮蔽效應,位於該特徵部的底部處的材料會比靠近該特徵部的頂部或場中的材料接受較少的電漿處理。作為其結果,該特徵部的頂部處的沉積被選擇性地抑制,而該特徵部的下方部分中的沉積則以較少抑制或未被抑制的方式進行。
在圖3中,抑制區塊中的次一操作是在操作310中的ALD填充的n1個循環。介電質材料係選擇性沉積在特徵部的底部處。操作308中的抑制性電漿及操作310中的ALD填充的n1個循環係共同形成一個生長循環,且可以重複進行n2次,從而在抑制效果減弱時利用間斷性抑制操作持續填充特徵部。抑制區塊中的生長循環的數量可以取決於該特徵部的重入性,即其是否會在該特徵部的底部至頂部的其中一或多個點處變窄。表現出較強重入性的特徵部可能會使用較長的抑制時間或複數抑制區塊。或者,如進一步討論於下,可使用較短的抑制時間及較少的ALD循環以逐漸填充具有重入性的特徵部。一旦重入特徵部被填充低於該重入性,則可以調整生長循環及抑制區塊以增加填充速度,從而改善產量。在圖3的示例中,該抑制區塊係結束在操作312所示的任選鈍化操作。鈍化操作312係一種將殘留的抑制劑去除,且還可以使已沉積的膜緻密化的表面處理。在一些實施例中係使用氧電漿。在一些實施例中可以省略操作312。
對於總數為n3的抑制區塊,可以執行一或多個包括生長循環及鈍化的額外抑制區塊。抑制區塊的數量係取決於填充特徵部的材料量。抑制性電漿、ALD及鈍化的條件可以隨著抑制區塊而改變,以填充該特徵部。舉例而言,抑制性電漿的持續時間可以為30秒,直到填充該特徵部的底部的四分之一(抑制區塊1);接著,將該持續時間更改為10秒以填充該結構的中間50%(抑制區塊2),諸如此類。在一些實施例中,抑制性電漿的持續時間得以待填充結構的深寬比及/或深度為基礎。在一些實行例中,與後續的抑制區塊相比,該第一抑制區塊可以具有較長的抑制性電漿的持續時間及較高的功率,從而如上所述地將側壁平滑化。針對本文所述的任何抑制區塊的抑制性電漿的持續時間可以至少約為0.1秒、至少約0.5秒、至少約1秒、至少約5秒、至少約10秒、至少約20秒或至少約30秒。
當該特徵部幾乎被填充時,可能不再需要抑制,而在操作314中可以在不使用抑制的情況下完成該填充。在一些實施例中,可以接著在操作316中沉積介電質的封蓋層或過載層。可以在此階段使用電漿增強化學氣相沉積(PECVD),以進行快速沉積。
在各種實施例中,抑制性電漿為原位電漿,使得該電漿係形成在該站中的基板表面的正上方。在一些實施例中,該電漿係電容耦合電漿(CCP)。在一些實施例中,該電漿可以是具有低頻成分及高頻成分的雙頻電漿。針對原位電漿及4站腔室的示例性功率可以至少約為1000 W、至少約2500 W、介於約1000 W與約6000 W之間、介於約1000 W與約3000 W之間,以及介於約2500 W與約6000 W之間。較高的功率可以增加該電漿的蝕刻成分。針對原位電漿及4站腔室的示例性功率可以至少約為2500 W、介於約1000 W與約6000 W之間、介於約1000 W與約3000 W之間,以及介於約2500 W與約6000 W之間。在一些實施例中,較低的功率(例如,2500 W)可以與較長的電漿處理持續時間(例如,30秒)一起使用,以蝕刻該基板。這些功率係針對處理四個300 mm晶圓的腔室;可以對較大/較小的晶圓及/或較多/較少的腔室進行適當修改(例如,對於單一晶圓,可以將這些數值除以4,使得針對四個晶圓的腔室所用的1000W在針對單一晶圓時可以約為250 W,或是每基板面積約為0.3536 W/cm 2)。在一些實施例中,這些功率可以用於單頻電漿。在一些實施例中,這些功率可以用於雙頻電漿,其中用於低頻成分的功率可以與用於高頻成分的功率不同。藉由使用兩個電容耦合板對氣體施加射頻(RF)場,可以生成ALD處理所用的電漿。該射頻場對板體之間的氣體所造成的游離係使電漿點燃,而在電漿放電區域中產生自由電子。這些電子被該RF場加速,並且可能會與氣相反應物分子產生碰撞。這些電子與反應物分子的碰撞可以形成參與該沉積處理的自由基物質。將能理解,該RF場可以經由任何合適的電極而進行耦合。非限制性的電極示例係包括處理氣體分配噴淋頭及基板支撐基座。將能理解,用於ALD處理的電漿可以經由該RF場對於氣體的電容耦合之外的一或多種合適的方法形成。在一些實施例中,該電漿為遠端電漿,使得第二反應物在該站上游的遠端電漿產生器中被點燃,接著被輸送至容納著該基板的該站。
在一些實施例中,抑制性物質與惰性氣體的比例可以約為1:5、約1:10、介於約1:10與約1:20之間或介於約1:5與約1:5000之間。通常,將抑制性物質(例如NF 3)的氣流比例增加的動作會使該基板暴露於抑制性電漿的抑制效果提高。
在一些實施例中,在ALD及抑制性電漿處理期間的處理腔室的壓力可以大於約8 Torr、大於約10 Torr、介於約10 Torr與約30 Torr之間或介於約10 Torr與約100 Torr之間。
在一些實施例中,在ALD及抑制性電漿處理期間的處理腔室的溫度可以介於約200℃與約800℃之間、介於約300℃與約700℃之間,或是至少約為200℃。
在一些實施例中,抑制性物質的流量可以介於約0與約6000 sccm之間。
如上所述,ALD係用於填充特徵部。ALD係一種依序沉積材料薄層的技術。ALD 處理係使用表面介導的沉積反應而在複數循環中逐層沉積膜。ALD「循環」的概念係與本文中各種實施例的討論相關。通常,一個循環係用於進行一次表面沉積反應的最小操作組。一個循環的結果係在基板表面上產生至少部分含矽的膜層。通常,ALD循環係包括將至少一種反應物輸送及吸附於基板表面,接著使已吸附的反應物與一或多種反應物進行反應,從而形成該部分膜層的操作。該循環可以包括某些輔助操作,例如掃除反應物或副產物的其中一者,及/或對已沉積的部分膜進行處理。通常,一個循環係包含一個獨特操作次序的一個實例。
作為示例,ALD循環可以包括以下操作:(i)前驅物的輸送/吸附;(ii)將該前驅物從腔室吹除;(iii)第二反應物的輸送,及任選的電漿點燃;以及(iv)將副產物從腔室吹除。用以在基板表面上形成膜而在該第二反應物與已吸附的前驅物之間的反應會影響膜組成及性質,例如不均勻性、應力、濕式蝕刻速率、乾式蝕刻速率、電性性質(例如,擊穿電壓及漏電流)等。
在ALD處理的一示例中,係將包括大量表面活性位點的基板表面暴露至第一前驅物(例如,含矽前驅物)的氣相分佈,其中該第一前驅物係以注劑方式被提供至容納該基板的腔室。該第一前驅物的分子係吸附於該基板表面上,包括該第一前驅物的化學吸附物質及/或物理吸附物質。當化合物如本文所述地吸附於該基板表面上時,吸附層可以包括該化合物及該化合物的衍生物。舉例而言,含矽前驅物的吸附層可以包括含矽前驅物及該含矽前驅物的衍生物。在第一前驅物的注劑後,接著將腔室抽氣以去除殘留在氣相中的大部分或全部第一前驅物,使得幾乎或僅有已吸附的物質被留下。在一些實行例中,可以不將該腔室完全抽空。舉例而言,可以將該反應器抽氣,使得氣相中的第一前驅物的分壓係低到足以減緩反應。例如含氧氣體或含氮氣體的第二反應物被引進該腔室,使得其中一些分子係與吸附在該表面上的第一前驅物產生反應。在一些處理中,該第二反應物係立即與已吸附的第一前驅物產生反應。在其他實施例中,該第二反應物僅會在暫時施加例如電漿的活化源時才進行反應。接著,可以將該腔室再次抽氣,以去除未接合的第二反應物分子。如上所述,在一些實施例中,可以不將該腔室完全抽空。額外的ALD循環可以用於累積膜厚度。
圖4呈現單一電漿增強ALD循環的處理流程圖,該循環可以作為操作103的一部分實施以沉積保形層,或是用於圖3中所顯示的任何其他ALD操作。在操作402中,將該基板暴露於含矽前驅物,使該前驅物吸附於該特徵部的表面上。此操作可以是自限性的。在一些實施例中,該前驅物並未吸附於該特徵的表面上的所有活性位點。在操作404中,任選地將該處理腔室吹淨,以去除任何未吸附的含矽前驅物。在操作406中,將該基板暴露於共反應物所產生的電漿。示例係包括用以形成矽氧化物層的含氧物質(例如,O 2及/或N 2O)、用以形成矽氮化物層的含氮物質(例如,N 2或NH 3)等。在操作408中,任選地將該處理腔室吹淨,以將含矽前驅物與氧化劑之間的反應所產生的副產物去除。將操作402至408重複進行複數循環,從而在特徵部中將該含矽層沉積至期望厚度。
應當注意,本文所述的處理不限於特定反應機制。因此,關於圖3所述的處理係包括使用依序暴露於含矽反應物及轉化性電漿的所有沉積處理,包括非嚴格自限性的那些沉積處理。該處理係包括一次序,在該次序中,用於產生電漿的一或多種氣體係在整個處理期間連續流動,伴隨間斷性的電漿點燃。
一或多種含矽前驅物係可以針對矽氧化物的沉積而使用。在一些示例中,含矽前驅物可以包括矽烷類(例如,SiH 4)、聚矽烷(H 3Si‑(SiH 2) ‑SiH 3,其中n 1)、有機矽烷、鹵化矽烷、胺基矽烷、烷氧基矽烷等。有機矽烷例如為甲基矽烷、乙基矽烷、異丙基矽烷、三級丁基矽烷、二甲基矽烷、二乙基矽烷、二(三級丁基)矽烷、烯丙基矽烷、二級丁基矽烷、己基矽烷、異戊基矽烷、三級丁基二矽烷、二(三級丁基)二矽烷等。
鹵代矽烷係包括至少一鹵素基團,並且可能會或可能不會包括氫及/或碳基團。鹵代矽烷的示例為碘矽烷、溴矽烷、氯矽烷及氟矽烷。特定氯矽烷為四氯矽烷、三氯矽烷、二氯矽烷、單氯矽烷、氯代烯丙基矽烷、氯代甲基矽烷、二氯甲基矽烷、氯代二甲基矽烷、氯代乙基矽烷、三級丁基氯矽烷、二(三級丁基)氯矽烷、氯代異丙基矽烷、氯代二級丁基矽烷、三級丁基二甲基氯矽烷、己基二甲基氯矽烷等。
胺基矽烷包括至少一個與矽原子鍵結的氮原子,但也可以包含氫、氧、鹵素及碳。胺基矽烷的示例為單胺基矽烷(H 3Si(NH 2) 4)、二胺基矽烷(H 2Si(NH 2) 2)、三胺基矽烷(HSi(NH 2) 3)及四胺基矽烷(Si(NH 2) 4),以及經取代的單胺基矽烷、二胺基矽烷、三胺基矽烷及四胺基矽烷,例如三級丁基胺基矽烷、甲基胺基矽烷、三級丁基矽烷胺(tert-butylsilanamine)、雙(三級丁基胺基)矽烷(SiH 2(NHC(CH 3) 3) 2,BTBAS)、矽基胺基甲酸三級丁基酯(tert-butyl silylcarbamate)、SiH(CH 3)-(N(CH 3) 2) 2、SiHCl-(N(CH 3) 2) 2、(Si(CH 3) 2NH) 3、二(異丙基胺基)矽烷(DIPAS)、二(二級丁基胺基)矽烷(DSBAS)、SiH 2[N(CH 2CH 3) 2] 2(BDEAS)等。胺基矽烷的進一步示例為三矽基胺(N(SiH 3) 3)。在一些實施例中,可以使用具有二或更多個與中心Si原子附接的胺基團的胺基矽烷。與僅附接單一個胺基的胺基矽烷相比,這些胺基矽烷可以導致較少損害。
進一步的含矽前驅物的示例係包括三甲基矽烷(3MS);乙基矽烷;丁基矽烷;戊基矽烷;辛基矽烷;庚基矽烷;己基矽烷;環丁基矽烷;環庚基矽烷;環己基矽烷;環辛基矽烷;環戊基矽烷;1,4-二氧-2,3,5,6-四矽環己烷;二乙氧基甲基矽烷(DEMS);二乙氧基矽烷(DES);二甲氧基甲基矽烷;二甲氧基矽烷(DMOS);甲基二乙氧基矽烷(MDES);甲基二甲氧基矽烷(MDMS);八甲氧基十二矽氧烷(OMODDS);三級丁氧基二矽烷;四甲基環四矽氧烷(TMCTS);四氧甲基環四矽氧烷(TOMCTS);三乙氧基矽烷(TES);三乙氧基矽氧烷(TRIES);及三甲氧基矽烷(TMS或TriMOS)。
在某些實施例中,含矽前驅物可以包括矽氧烷或含胺基的矽氧烷。在一些實施方式中,本文中所使用的矽氧烷可以具有X(R 1) aSi-O-Si(R 2) bY的化學式,其中a和b是介於0到2之間的整數,且X和Y可以獨立地為H或NR 3R 4,其中R1、R2、R3和R4各自可以是氫、直鏈烷基、支鏈烷基、飽和雜環基、不飽和雜環基,或其組合。在某些實施方式中,當至少一個X或Y為NR 3R 4時,R 3和R 4與其各自附接的原子一起形成飽和的雜環化合物。在某些實施方式中,含矽前驅物是含有五甲基化胺基的矽氧烷或含有二甲基化胺基的矽氧烷。含胺基矽氧烷的示例包括:1-二乙基胺基-1,1,3,3,3-五甲基二矽氧烷、1-二異丙基胺基-1,1,3,3,3-五甲基二矽氧烷、1-二丙基胺基-1,1,3,3,3-五甲基二矽氧烷、1-二正丁基胺基-1,1,3,3,3-五甲基二矽氧烷、1-二(二級丁基)胺基-1,1,3,3,3-五甲基二矽氧烷、1-N-甲基乙基胺基-1,1,3,3,3-五甲基二矽氧烷、1-N-甲基丙基胺基-1,1,3,3,3-五甲基二矽氧烷、1-N-甲基丁基胺基-1,1,3,3,3-五甲基二矽氧烷、1-三級丁基胺基-1,1,3,3,3-五甲基二矽氧烷、1-哌啶基-1,1,3,3,3-五甲基二矽氧烷、1-二甲基胺基-1,1-二甲基二矽氧烷、1-二乙基胺基-1,1-二甲基二矽氧烷、1-二異丙基胺基-1,1-二甲基二矽氧烷、1-二丙基胺基-1,1-二甲基二矽氧烷、1-二正丁基胺基-1,1-二甲基二矽氧烷、1-二(二級丁基)胺基-1,1-二甲基二矽氧烷、1-N-甲基乙基胺基-1,1-二甲基二矽氧烷、1-N-甲基丙基胺基-1,1-二甲基二矽氧烷、1-N-甲基丁基胺基-1,1-二甲基二矽氧烷、1-哌啶基-1,1-二甲基二矽氧烷、1-三級丁基胺基-1,1-二甲基二矽氧烷、1-二甲基胺基二矽氧烷、1-二乙基胺基二矽氧烷、1-二異丙基胺基二矽氧烷、1-二丙基胺基二矽氧烷、1-二正丁基胺基二矽氧烷、1-二(二級丁基)胺基二矽氧烷、1-N-甲基乙基胺基二矽氧烷、1-N-甲基丙基胺基二矽氧烷、1-N-甲基丁基胺基二矽氧烷、1-哌啶基二矽氧烷、1-三級丁基胺基二矽氧烷,及1-二甲基胺基-1,1,5,5,5-五甲基二矽氧烷。
在所沉積的膜包含氧的情況下,可以使用含氧反應物。含氧反應物的示例包括但不限於氧氣(O 2)、臭氧(O 3)、一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、三氧化二氮(N 2O 3)、四氧化二氮(N 2O 4)、五氧化二氮(N 2O 5)、一氧化碳(CO)、二氧化碳(CO 2)、二氧化硫(SO)、二氧化硫(SO 2)、含氧碳氫化合物(C xH yO z)、水(H 2O)、甲醛(CH 2O)、硫醇(COS)以及其混合物等。
在所沉積的膜包含氮的情況下,可以使用含氮反應物。含氮反應物至少含有一個氮,例如氮氣(N 2)、氨(NH 3)、聯胺(N 2H 4)、胺類化合物(例如,含碳的胺類)如甲胺(CH 5N)、二甲胺[(CH 3) 2NH]、乙胺(C 2H 5NH 2)、異丙胺(C 3H 9N)、三級丁胺(C 4H 11N)、二(三級丁基)胺(C 8H 19N)、環丙胺(C 3H 5NH 2)、二級丁胺(C 4H 11N)、環丁胺(C 4H 7NH 2)、異戊胺(C 5H 13N)、2-甲基丁-2-胺(C 5H 13N)、三甲胺(C 3H 9N)、二異丙胺(C 6H 15N)、二乙基異丙胺(C 7H 17N)、二(三級丁基)聯胺(C 8H 20N 2),以及含有芳香族的胺類,如苯胺、吡啶及苄胺等。胺類可以是一級、二級、三級或四級的(例如,四烷基銨化合物)。含氮反應物可以包含氮以外的雜原子,例如羥基胺、三級丁氧基羰基胺及N-三級丁基羥基胺皆為含氮反應物。其他示例包括N xO y化合物,如一氧化二氮(N 2O)、一氧化氮(NO)、二氧化氮(NO 2)、三氧化二氮(N 2O 3)、四氧化二氮(N 2O 4)及/或五氧化二氮(N 2O 5)。
本揭示說明的另一態樣係涉及一種具有複數壓力開關的處理腔室。壓力開關可以作為處理腔室的安全特徵部。某些物質或物質組合可能是危險生產材料(HPM)。出於各種原因,包括腐蝕性、可燃性或易燃性,HPM可能會被視為具有危險性。舉例而言,具有自燃性的氣體(例如,矽烷類)在接觸環境空氣時可能會自燃。較高壓力的處理可能會增加與HPM相關的風險,而這是不希望的。壓力開關可以作為一種安全特徵部,其係在該處理腔室超過特定壓力時使HPM流動停止或轉向,以防止該HPM發生反應或從處理腔室洩漏。
雖然壓力開關可以緩解與HPM相關的風險,但在某些實施例中,單一的壓力開關可能係基於最危險的物質而限制在該腔室中可以使用的處理,即使這些物質並未針對特定處理而流動。具體而言,針對含矽膜的退火處理可以在惰性氣體或少量氫及氧的存在下進行,這些物質相較於含矽物質(例如,矽烷類或胺基矽烷類)具有較低的危害性。退火處理可以執行以例如藉由將氫氣從該膜中去除並促進矽氧鍵的形成(針對矽氧化物膜)而使膜緻密化且改善交聯作用。在氧氣存在的情況下進行退火處理可以進一步促進交聯及氧化該膜。對於某些含矽膜,例如矽氮化物或矽碳化物膜,將氫氣納入處理氣體中也可以得到類似的好處。通常,在較高壓力下進行退火可能會對所得到的膜造成較低的濕式蝕刻速率,而這是期望的。然而,基於在沉積期間HPM可能會流動的風險,處理腔室的最大運行壓力可能存在限制。通常,HPM在退火處理期間係不會流動的。
通常,退火處理可以在爐子中進行,而該爐子係可以容納複數晶圓。然而,與可以進行含矽膜的沉積及退火的單一腔室或單一工具相比,這些處理可能是較慢且較無法調整的。因此,為了促進在沉積該含矽膜的腔室中進行基板退火處理而可以使用具有兩個開關的處理腔室,其中第一開關係設置在流動HPM(例如,含矽前驅物)時使用,而第二開關係設置在不流動HPM時使用。這可以允許該處理腔室在針對某些操作(例如,退火操作)時以較高壓力運行,從而提高膜的品質及產量。
圖5A呈現一個可用於在低壓使用HPM沉積材料,且在高壓且未使用HPM的情況下處理基板的處理站500的實施例。本文所述的高壓或高壓閾值可以是大於約30 Torr、大於約40 Torr、大於約60 Torr、介於約30 Torr與約100 Torr之間、介於約30 Torr與約50 Torr之間、小於約500 Torr、小於約550 Torr或約為550 Torr的壓力。本文所述的低壓或低壓閾值可以指涉小於約30 Torr、小於約40 Torr或小於約50 Torr的壓力。處理腔室本體501係通過噴淋頭入口閥505而與混合容器504流體連通,其中該噴淋頭入口閥505可以控制處理氣體對於該處理腔室本體的引進。混合容器504可以混合及/或調合處理氣體。一或多個混合容器入口閥520a-c可以控制處理氣體對於混合容器504的引進。混合容器入口閥520a-c可以各自連接至反應物來源:混合容器入口閥520a係與HPM源506流體連接,混合容器入口閥520b係與惰性氣體507流體連接,而混合容器入口閥520c係與處理氣體源509流體連接。處理氣體源509可以將氧化性物質或氫氣輸送至該處理腔室本體,而該氧化性物質或氫氣比起例如矽烷可以較不具危害性。
壓力開關511和513可以與處理腔室本體501及混合容器入口閥520a-c的其中一或多者連接。這些壓力開關可以與混合容器入口閥520a-c的其中一或多者聯鎖(interlock),以控制混合容器入口閥是否可以允許反應物流動至該處理腔室本體。舉例而言,壓力開關511可以與控制HPM流動的混合容器入口閥520a聯鎖。因此,為了使混合容器入口閥520a允許HPM流動至該處理腔室本體,必須啟用壓力開關511。若該處理腔室本體的壓力超過壓力開關511的閾值壓力,這允許壓力開關511將混合容器入口閥520a安全地關閉,而該壓力開關511可以是低壓開關,允許混合容器入口閥520a在低壓下流動HPM氣體。相反,壓力開關513可以與混合容器入口閥520b和520c聯鎖,而允許在處理腔室本體處於高壓時流動惰性氣體或處理氣體。舉例而言,當壓力超過高壓閾值(例如,約500 Torr或約550 Torr)時,壓力開關513可以關閉混合容器入口閥520b和520c。可以理解,若混合容器入口閥520a是打開的,則壓力開關511會比壓力開關513率先觸發,因為壓力開關511的壓力閾值係低於壓力開關513的壓力閾值。
雖然在圖5A中顯示兩個壓力開關,但應該理解可以使用多於兩個壓力開關。在某些實施例中,各個混合容器入口閥可以與一個壓力開關聯鎖,其中該壓力開關的壓力閾值係以通過該入口閥的物質作為基礎。
圖5B呈現根據本文中的各種實施方式的使用壓力開關以控制特定物質的流動的流程圖。在操作552中,確認流入處理腔室的物質。在某些實施例中,這些物質可以包括如含矽反應物的前驅物質,以及如含氧物質、氫、含氮物質等的反應物。在操作554中,確認與該物質相關聯的壓力閾值。在某些實施例中,該壓力閾值可以基於流入該處理腔室的物質中最危險或具有最低相關壓力閾值的物質。舉例而言,若物質包括矽烷,則壓力閾值可以為上述的低壓閾值。在某些實施例中,該壓力閾值還可以基於該物質的流率。舉例而言,在退火處理期間,氧和氫可能會與惰性氣體共同流動。在某些實施例中,當氫和氧物質的總流率較低時(例如,結合後的流率係小於約10 slm或小於約20 slm),則高壓閾值可以與氧及/或氫物質相關聯。若結合後的流率係大於約10 slm或約20 slm,則低壓閾值可以與該物質相關聯。在某些實施例中,若氫或氧係彼此互斥地流動(即,僅有含氫物質或含氧物種之一),則可以使用高壓閾值。
在操作556中,判斷該處理腔室的壓力。在某些實施例中,該壓力可以藉由與控制器運行連接的壓力感測器而加以判斷,其中該控制器可以與將物質流入該處理腔室所用的入口閥運行連接。在某些實施例中,該壓力可以使用壓力開關而加以判斷,當該壓力係高於與經由一或多個聯鎖的混合容器入口閥而流動的物質相關的閾值時,該壓力開關會機械性或自動地將運行連接的混合容器入口閥關閉或轉向。在操作560中,將該處理腔室的壓力與流動至該處理腔室的物質相關的閾值進行比較。當該處理腔室的該壓力超過該閾值時,則在操作562中停止該物質的流動。在某些實施例中,物質的所有流動都會在操作562中停止及轉向。在某些實施例中,這些步驟可以被運行連接至該閥及該處理腔室本體的控制器執行。在其他實施例中,圖5B的處理可以基於壓力開關檢測到超過閾值的壓力而自動執行,其中該壓力開關係會自動驅使已連接的一或多個混合容器入口閥關閉。
在某些實施例中,在沉積含矽膜之後可以進行退火處理,其中已在圖3中描述一示例性沉積處理。在某些實施例中,該含矽膜的沉積處理可以使用低壓閾值進行,而後續的退火處理則可以使用高壓閾值進行。退火處理可以包括將基座中的加熱器的溫度升高到大於例如400°C或500°C的溫度。在某些實施例中,可以在退火處理期間流動惰性氣體,且在該退火處理期間還可以選擇性地共同流動含氫物質及/或含氧物質。在某些實施例中,在該退火處理期間不流動危險生產物質,例如矽烷。在某些實施例中,該退火處理期間的處理腔室的壓力可以大於約30 Torr、約40 Torr、約50 Torr、約100 Torr,或介於約30 Torr與約550 Torr之間。在某些實施例中,該退火處理期間的處理腔室的壓力係高於沉積含矽膜的沉積處理期間的處理腔室的壓力。 設備
圖6示意性顯示處理站600的實施例,該處理站600可使用原子層沉積(ALD)及/或化學氣相沉積(CVD)以沉積材料,其中ALD及CVD的任一者係可經電漿增強的。為簡潔起見,處理站600係被繪示成獨立的處理站,其具有用於維持低於大氣壓力的環境的處理腔室本體602。然而,將能理解的是,可將複數處理站600包括於公共處理工具環境中。此外,將能理解的是,在一些實施例中,處理站600的一或更多硬體參數(包括本文詳細討論的那些)係可藉由一或更多電腦控制器650而以編程方式進行調整。
處理站600係與反應物輸送系統601流體連通,用於將處理氣體輸送至分配噴淋頭606。反應物輸送系統601係包括混合容器604,用於將處理氣體進行混合及/或調合以輸送至分配噴淋頭606。一或更多混合容器入口閥620可以控制處理氣體往混合容器604的引進。類似地,噴淋頭入口閥605可以控制處理氣體往分配噴淋頭606的引進。在某些實施例中,抑制劑或其他氣體可以被直接輸送至處理腔室本體602。一或多個混合容器入口閥620可以控制處理氣體往混合容器604的引進。這些閥的控制可以取決於在各種操作期間是否將處理氣體、抑制性氣體或承載氣體調整為開啟。在某些實施例中,可以藉由使用抑制液體且使用加熱式汽化器進行汽化,從而生成抑制性氣體。在某些實施例中,反應物輸送系統601可以具有壓力開關,而這些壓力開關係連接至參照圖5A而描述於上的一或多個混合容器入口閥620。
舉例而言,圖6的實施例包括汽化點603,用於將待供應至混合容器604的液體反應物進行汽化。在一些實施例中,汽化點603可為加熱式汽化器。產生自此汽化器的反應物蒸汽可能會在下游輸送管路中冷凝。將不相容氣體暴露至經冷凝反應物可能會產生小微粒。這些小微粒可能會使管路堵塞、妨礙閥操作、使基板汙染等。解決這些問題的一些方法涉及將該輸送管路進行掃除及/或抽空,以移除殘留的反應物。然而,將該輸送管路進行掃除可能會增加處理站的循環時間而使處理站產量降低。因此,在一些實施例中,汽化點603下游的輸送管路可以被熱追蹤。在一些示例中,混合容器604亦可以被熱追蹤。在一非限制性示例中,汽化點603下游的管路具有從大約100°C延伸至混合容器604處大約150°C的上升溫度輪廓。
在一些實施例中,可在液體注射器處將反應物液體汽化。舉例而言,液體注射器可將液體反應物的脈衝注入混合容器上游的承載氣流中。在一方案中,液體注射器可藉由將液體從較高壓力閃現至較低壓力而使反應物汽化。在另一方案中,液體注射器可將液體原子化為分散微滴,而該等分散微滴後續在加熱式輸送管路中被汽化。將能理解,較小的液滴比起較大的液滴可更快速地汽化,而這減低液體注射與完全汽化之間的延遲。較快的汽化可減低汽化點603下游的管道長度。在一方案中,液體注射器可直接安裝至混合容器604。在另一方案中,液體注射器可直接安裝至分配噴淋頭606。
在一些實施例中,可提供位在汽化點603上游的液體流量控制器(LFC),用於控制汽化及輸送至處理站600所用的液體質量流。舉例而言,該液體流量控制器可以包括位於該LFC下游的熱性質量流計(MFM)。接著,可以響應於回饋控制信號而調整該LFC的柱塞閥,其中該回饋控制信號係由與該MFM電性連通的比例-積分-微分(PID)控制器所提供。然而,使用回饋控制來穩定液體流動可能需耗費一或更多秒。這可能會延長液體反應物的注劑時間。因此,在一些實施例中,可將該LFC在回饋控制模式與直接控制模式之間動態切換。在一些實施例中,可以藉由使該LFC的感測管及該PID控制器失效而將該LFC從回饋控制模式動態切換至直接控制模式。
分配噴淋頭606將處理氣體分佈朝向基板612。在圖6中顯示的實施例中,基板612位於分配噴淋頭606下方,並被顯示為坐落在基座608上。將能理解,分配噴淋頭606可具有任何合適的形狀,並可具有任何合適的埠口數量及配置,以將處理氣體分佈至基板612。
在一些實施例中,微容積607係位於分配噴淋頭606下方。在微容積中而並非處理站的整體容積中進行ALD及/或CVD處理可以減低反應物暴露及掃除次數,可以減低處理條件(例如,壓力、溫度等)的調整次數,可以限制處理站機器人對於處理氣體的暴露等。示例性的微容積尺寸包括但不限於0.1公升與2公升之間的容積。這樣的微容積亦會對生產量造成影響。雖然每一循環的沉積速率下降,但循環時間亦同時降低。在某些情況下,後者的效應係顯著的,足以使對於給定目標膜厚度的模組的整體生產量得到改善。
在一些實施例中,可將基座608升起或降下,以將基板612暴露至微容積607及/或以改變微容積607的容量。舉例而言,在基板轉移階段,可將基座608降下以允許將基板612裝載於基座608上。在沉積處理階段期間,可將基座608升起以將基板612定位在該微容積607內。在一些實施例中,微容積607可完全包圍著基板612及基座608的部分,從而在沉積處理期間產生高流量阻抗區域。
任選地,可在沉積處理的部分期間將基座608降下及/或升起,以調節微容積607內的處理壓力、反應物濃度等。在沉積處理期間將處理腔室本體602維持在基本壓力的一方案中,使基座608降下可允許將微容積607抽空。微容積對於處理腔室容積的示例性比率包括但不限於介在1:600與1:10之間的容積比率。將能理解的是,在一些實施例中,可藉由合適的電腦控制器以編程方式調整基座高度。
在另一種情境下,調整基座608的高度可以允許在沉積處理中所含有的電漿活化及/或處理循環期間改變電漿密度。在沉積處理階段結束時, 可以在另一個基板轉移階段中將基座 608降低,以允許將基板612從基座608移除。
雖然本文所述的示例性微容積變更例係關於可調整高度的基座,但將能理解的是,在一些實施例中,可調整分配噴淋頭606相對於基座608的位置以改變微容積607的容量。此外,將能理解的是,可藉由本揭露範圍內的任何合適機制以變更基座608及/或分配噴淋頭606的垂直位置。在一些實施例中,基座608可包括轉動軸,用於轉動該基板612的位向。將能理解的是,在一些實施例中,可藉由一或更多合適的電腦控制器以編程方式執行這些示例性調整的一或更多者。
請回到圖6中顯示的實施例,分配噴淋頭606及基座608係與RF電源614及匹配網路616電性連通,從而為電漿供電。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率及電漿功率脈衝時間的其中一或更多者而控制電漿能量。舉例而言,可在任何合適功率操作RF電源614及匹配網路616,以形成具有所欲自由基物種組成的電漿。合適功率的示例係包括於上。同樣地,RF電源614可提供任何合適頻率的RF功率。在一些實施例中,可將RF電源614配置以彼此獨立地控制高頻率RF功率源及低頻率RF功率源。示例性低頻率RF頻率可包括但不限於介於50 kHz與500 kHz之間的頻率。示例性高頻率RF頻率可包括但不限於介於1.8 MHz與2.45 GHz之間的頻率。將能理解的是,可以間斷地或連續地調整任何合適的參數以提供表面反應所用的電漿能量。在一非限制性示例中,可將電漿功率間歇地進行脈衝,以相對於連續供電的電漿而減低對於基板表面的離子轟擊。
在一些實施例中,可藉由一或更多電漿監測器而在原位監測電漿。在一方案中,可藉由一或更多電壓、電流感測器(例如,VI探針)而監測電漿功率。在另一方案中,可藉由一或更多光學發射光譜(OES)感測器而測量電漿密度及/或處理氣體濃度。在一些實施例中,可基於得自此種原位電漿監測器的量測值而以編程方式調整一或更多電漿參數。舉例而言,可將OES感測器用於回饋迴路中,以提供對於電漿功率的編程控制。將能理解的是,在一些實施例中,可使用其他監測器以監測電漿及其他處理特性。此種監測器可包括但不限於紅外線(IR)監測器、聲學監測器及壓力轉換器。
在一些實施例中,可經由輸入/輸出控制(IOC)序列指令而控制電漿。在一示例中,設定電漿處理階段所用的電漿條件的指令可被包括在沉積處理配方的相應電漿活化配方階段中。在一些情況下,可將處理配方階段依序編排,使得用於沉積處理階段的所有指令係與該處理階段同時執行。在一些實施例中,用於設定一或更多電漿參數的指令可被包括在電漿處理階段之前的配方階段中。舉例而言,第一配方階段可包括用於設定惰性氣體及/或反應物氣體的流率的指令、用於將電漿產生器設定至功率設定點的指令,以及用於該第一配方階段的時間延遲指令。後續的第二配方階段可包括用於啟動電漿產生器的指令,以及用於該第二配方階段的時間延遲指令。第三配方階段可包括用於停用電漿產生器的指令,以及用於該第三配方階段的時間延遲指令。將能理解的是,這些配方階段可在本揭露的範圍內以任何合適的方式進一步細分及/或重複。
在某些沉積處理中,電漿擊打的持續時間可能為幾秒或更長。在特定實施例中,可以使用更短的電漿擊打時間。這些電漿擊打時間可能在10毫秒至1秒之間,通常約為20至80毫秒,其中50毫秒是一具體的示例。這種非常短暫的RF電漿擊打需要將電漿極端快速地穩定。為了實現這點,可以配置電漿發生器,使阻抗匹配被預先設定為特定電壓,同時頻率係被允許浮動。傳統上,高頻電漿係在約為13.56 MHz的RF頻率下生成。在本文所揭示的各種實施例中,該頻率係被允許浮動至與此標準值不同的數值。藉由在將阻抗匹配固定為預定電壓的同時允許頻率浮動,可以更快地穩定電漿,這對於使用與某些類型的沉積週期相關的非常短暫的電漿擊打而言可能是非常重要的。
在一些實施例中,可經由加熱器610對基座608進行溫度控制。此外,在一些實施例中,可藉由蝶形閥618提供對處理站600的壓力控制。如圖6的實施例中顯示,蝶形閥618調節由下游真空幫浦(未顯示)所提供的真空。然而,在一些實施例中,還可藉由改變被引進處理站600的一或更多氣體的流率而調整處理站600的壓力控制。
圖7為適合根據某些實施例而執行薄膜沉積處理的處理系統的方塊圖。系統700包括傳輸模組703。傳輸模組703提供乾淨且加壓的環境,使正接受處理的基板在各種反應器模組之間移動時受到汙染的風險最小化。安裝在傳輸模組703上的是兩個多站反應器709及710,其各者能夠根據某些實施例而執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器709及710可包括複數站711、713、715及717,而該等站可依序或不依序地根據所揭露的實施例而執行操作。該等站可包括加熱式基座或基板支撐件、一或更多氣體入口、噴淋頭或擴散板。
亦安裝在傳輸模組703上的得以是能夠執行電漿或化學(非電漿)預清潔,或是關於所揭露方法所描述的任何其他處理的一或更多單一或多站模組707。在一些情況下,該模組707可以用於各種處理,從而例如使基板準備進行沉積處理。該模組707還可以被設計/配置以執行各種其他處理,例如蝕刻或拋光。系統700還包括在處理前後儲存著晶圓的一或更多晶圓來源模組701。位在大氣傳輸腔室719中的大氣機器人(未顯示)可率先將晶圓從來源模組701移動至負載鎖室721。位在傳輸模組703中的晶圓傳輸裝置(通常為機器手臂單元)將晶圓從負載鎖室721移動至安裝在傳輸模組703上的複數模組,以及在該等模組之間移動。
在各種實施例中,系統控制器729係用於在沉積期間控制處理條件。控制器729通常將會包括一或更多記憶裝置與一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
控制器729可以控制沉積設備的所有活動。系統控制器729執行系統控制軟體,其中該系統控制軟體包括指令組,用於控制時間、氣體混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或基座位置及特定處理的其他參數。在一些實施例中,可使用在與該控制器729相關的記憶裝置上儲存的其他電腦程式。
通常,將會存在與該控制器729相關的使用者界面。該使用者界面可以包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器,已及例如指向裝置、鍵盤、觸控螢幕、麥克風等使用者輸入裝置。
系統控制邏輯得以任何合適方法進行配置。一般而言,可將該邏輯設計或配置在硬體及/或軟體中。可將用於控制驅動電路的指令硬編碼或提供為軟體。可透過「編程」而提供指令。這樣的編程係被理解為包括任何邏輯形式,包括數位信號處理器、特殊應用積體電路,以及具有實施作為硬體的特定演算法的其他裝置中的硬編碼邏輯。編程亦被理解為包括可在普通目的處理器上執行的軟體或韌體指令。系統控制軟體可在任何合適的電腦可讀編程語言中進行編碼。
用於控制含鍺還原劑脈衝、氫氣流及含鎢前驅物脈衝,以及處理次序中的其他處理的電腦程式編碼可被編寫於任何習知的電腦可讀編程語言中:例如組合語言、C、C++、Pascal、Fortran等。編譯物件編碼或腳本係藉由處理器加以執行,以執行該程式中所認證的任務。另外,如上所述,該程式編碼可為經硬編碼的。
控制器的參數係有關於處理條件,例如處理氣體的組成及流率、溫度、壓力、冷卻氣體壓力、基板溫度及腔室壁溫度。這些參數係以配方形式提供予使用者,並可利用使用者介面進行輸入。用於監測該處理的信號可藉由該系統控制器729的類比及/或數位輸入連接件而加以提供。用於控制該處理的信號係輸入於該沉積設備700的類比及數位輸出連接件上。
系統軟體得以許多方式進行設計或配置。舉例來說,可對各種腔室構件子程式或控制物件進行編寫,以控制根據所揭露實施例而執行沉積處理(以及在一些情況下的其他處理)所需的腔室構件的操作。針對此目的之程式或程式部分的示例包括基板定位編碼、處理氣體控制編碼、壓力控制編碼及加熱器控制編碼。
在一些實行例中,控制器(例如,電腦控制器650或729)為系統的一部份,且該系統可為上述示例的一部分。此系統可包括半導體處理設備,而該半導體處理設備包括一或更多處理工具、一或更多腔室、一或更多處理平台及/或特定處理構件(晶圓基座、氣體流動系統等)。可將這些系統與電子元件進行整合以在處理半導體晶圓或基板之前、期間及之後控制它們的操作。所述電子元件可被稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求及/或系統類型,可將控制器729進行編程以控制本文所揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定,與特定系統連接或接合的一工具及其他傳輸工具及/或負載鎖室的晶圓傳輸進出。
廣義來說,可將控制器定義成具有各種積體電路、邏輯、記憶體、及/或軟體的電子元件,以接收指令、發送指令、控制操作、啟用清潔操作、啟用端點測量等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片,及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令得為以各種獨立設定(或程式檔案)形式而被傳送至控制器的指令,而定義出用於在半導體晶圓上、針對半導體晶圓或對系統執行特定步驟的操作參數。在一些實施例中,操作參數可為製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓的晶粒的製造期間完成一或更多的處理步驟。
在一些實行例中,控制器可為電腦的一部分或被耦接至電腦,所述電腦係整合並耦接至所述系統,或以其他方式與所述系統網路連接,或是其組合。例如,控制器可位於「雲端」,或是FAB主電腦系統的全部或一部分中而可允許晶圓處理的遠端存取。電腦可使對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟或開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路或網際網路。遠端電腦可包括使用者介面,而能夠對參數及/或設定進行輸入或編程,所述參數及/或設定則接著從遠端電腦傳送至系統。在一些示例中,控制器接收數據形式的指令,其中所述指令係指明一或更多操作期間待執行之各處理步驟所用的參數。應當理解的是,所述參數可特定於待執行的步驟類型及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器可例如藉由包括一或更多離散控制器而進行分佈,其中所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為此目的所分佈的控制器之示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)且結合以控制腔室上之處理的一或更多積體電路連通。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-淋洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組,以及可能有關於或使用於半導體晶圓之加工及/或製造中的任何其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可連通至一或更多其他工具電路或模組、其他工具組件、群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器,或是材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載埠口。
可理解的是,複數處理站可被包括在如圖8所示的多站處理工具環境中,其中圖8繪示多站處理工具的實施例的示意圖。處理設備800使用積體電路製造腔室863,該腔室863包括複數製造處理站,其中該複數製造處理站的各者係可用於在基板上執行處理操作,該基板被固持在特定處理站處的晶圓固持件(例如,基座)中。在圖8的實施例中,積體電路製造腔室863是顯示以具有四個處理站851、852、853及854。其他類似的多站處理設備可具有更多或更少的處理站,取決於實行例及例如所欲的並行晶圓處理層級、尺寸/間隔限制、成本限制等。圖8中還顯示基板搬運機器人875,其可在系統控制器890的控制下進行操作,配置以將基板從晶舟(未顯示於圖4中)從裝載埠口880移動進入積體電路製造腔室863,且到達處理站851、852、853及854上。
圖8還繪示系統控制器890的實施例,其中該系統控制器890係用於控制處理設備800的處理條件及硬體狀態。系統控制器890可包括本文中所述的一或更多記憶裝置、一或更多大量儲存裝置,以及一或更多處理器。
RF子系統895可產生RF功率,並經由射頻輸入埠口867將該RF功率傳遞至積體電路製造腔室863。在特定實施例中,積體電路製造腔室863還可包括除射頻輸入埠口867之外的輸入埠口(額外輸入埠口未顯示於圖8中)。因此,積體電路製造腔室863可使用8個RF輸入埠口。在特定實施例中,積體電路製造腔室165的處理站851~854可各自使用第一及第二輸入埠口,其中第一輸入埠口可傳遞具有第一頻率的信號,而第二輸入埠口可傳遞具有第二頻率的信號。使用雙重頻率可實現增強的電漿特性。
如上所述,一或更多處理站可被包括在多站處理工具中。圖9顯示多站處理工具900之實施例的示意圖,該多站處理工具900具有入站(inbound)負載鎖室902以及出站(outbound)負載鎖室904,其中的一者或兩者可包括遠端電漿來源。處於大氣壓力下的機器人906係配置以將基板或晶圓從透過傳送盒908進行裝載的晶舟通過大氣埠口而進到入站負載鎖室902中。藉由機器人906將基板放置在入站負載鎖室902中的基座912上,將大氣埠口關閉並且將負載鎖室進行抽氣。在該入站負載鎖室902包括遠端電漿來源的情況下,可在基板被導引至處理腔室914中之前,將該基板暴露於負載鎖室內的遠端電漿處理。此外,還可在入站負載鎖室902中對基板進行加熱,以例如移除濕氣及所吸附的氣體。接下來,開啟往處理腔室914的腔室傳輸埠口916,而晶圓搬運系統990將基板放入反應器中且位於該反應器中所顯示的第一站的基座上以進行處理。雖然在圖9中所繪示的實施例係包括負載鎖室,但將能理解的是,在一些實施例中,可將基板直接提供至處理站中。在各種實施例中,浸泡氣體是在基板被機器人906放置在基座912上時引入站中。
所繪示的處理腔室914包括四個處理站,在圖9中所顯示的實施例中係從1到4進行編號。各站具有加熱式基座(顯示為站1的918),以及氣體管線入口。將能理解的是,在一些實施例中,各處理站可具有不同或複數用途。舉例來說,在一些實施例中,處理站可在ALD與PEALD處理模式之間切換。額外地或替代地,在一些實施例中,處理腔室914可包括ALD及電漿增強ALD處理站的一或更多匹配對。雖然所繪示的處理腔室914包括四個站,但將能理解的是,根據本揭露的處理腔室可具有任何合適數量的站。舉例來說,在一些實施例中,處理腔室可具有五或更多站;而在其他實施例中,處理腔室可具有三或更少站。
圖9繪示晶圓搬運系統990的實施例,用以在處理腔室914內傳輸基板。在一些實施例中,晶圓搬運系統990可在各種處理站之間,及/或在處理站與負載鎖室之間傳輸基板。將能理解的是,可使用任何合適的晶圓搬運系統。非限制性示例包括晶圓旋轉料架(carousel)及晶圓搬運機器人。圖9還繪示系統控制器950的實施例,用以控制處理工具900的處理條件與硬體狀態。系統控制器950可包括一或更多記憶裝置956、一或更多大量儲存裝置954,以及一或更多處理器952。處理器952可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。在一些實施例中,系統控制器950包括用於執行操作(如本文所述的操作)的機器可讀指令。
在一些實施例中,系統控制器950控制著處理工具900的所有活動。系統控制器950執行系統控制軟體958,其中該系統控制軟體958係儲存在大量儲存裝置954中、載入至記憶裝置956中,以及在處理器952上執行。或者,可將控制邏輯硬編碼在系統控制器950中。特殊應用積體電路、可編程邏輯裝置(例如,場可編程閘極陣列,或稱為FPGA)等可用於這些目的。在以下敘述中,無論何處使用「軟體」或「編碼」,皆可在該處使用功能可相比的硬編碼邏輯。系統控制軟體958可包括複數指令,用於控制:時間、氣體混合、腔室及/或站的壓力、腔室及/或站的溫度、基板溫度、目標功率層級、RF功率層級、RF頻率、基板基座、卡盤及/或承受器位置,以及由處理工具900所執行的特定處理之其他參數。系統控制軟體958得以任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具的處理所用的處理工具構件之操作進行控制。系統控制軟體958可在任何合適的電腦可讀編程語言中進行編碼。 結語
雖然前述實施例已為了清楚理解的目的而描述些許細節,但將顯而易知的是,可在隨附申請專利範圍的範疇內進行某些變更及修改。本文所揭露實施例可在不具一些或所有這些具體細節的情況下實施。在其他實例中,並未詳細描述習知的處理操作,以免不必要地模糊所揭露的實施例。此外,雖然所揭露實施例將結合特定實施例進行描述,但將能理解的是這些特定實施例的用意並非在於限制所揭露的實施例。應注意的是,存在著許多實行所呈現實施例之處理、系統及設備的替代方法。因此,所呈現實施例係被視為說明性而非限制性的,且實施例並不受限於本文所給定的細節。
101,105:操作 200:結構 201:結構 202:空隙 203:結構 204:側壁 205a:結構 206a:特徵部 206b:頂部重入特徵部 206c:深度重入特徵部 207a:結構 208:層 209a:結構 210a,210b:介電質材料 211:結構 212:介電質材料 213:結構 214:介電質材料 215a:結構 216a,216b,216c:間隙 217a:結構 218a,218b,218c:間隙 219a:結構 221:結構 223:結構 225a:結構 227a:結構 229a:結構 302-316:操作 402-408:操作 500:處理站 501:處理腔室本體 505:噴淋頭入口閥 504:混合容器 506:HPM源 507:惰性氣體 509:處理氣體源 511,513:壓力開關 520a-520c:混合容器入口閥 552-562:操作 600:處理站 601:反應物輸送系統 602:處理腔室本體 603:汽化點 604:混合容器 605:噴淋頭入口閥 606:噴淋頭 607:微容積 608:基座 610:加熱器 612:基板 614:RF電源 616:匹配網路 618:蝶形閥 620:混合容器入口閥 650:電腦控制器 700:系統 701:晶圓來源模組 703:傳輸模組 707:模組 709,710:多站反應器 711,713,715,717:站 719:大氣傳輸腔室 721:負載鎖室 729:系統控制器 800:處理設備 851-854:處理站 863:積體電路製造腔室 867:射頻輸入埠口 875:基板搬運機器人 880:裝載埠口 890:系統控制器 895:RF子系統 900:多站處理工具 902:入站(inbound)負載鎖室 904:出站(outbound)負載鎖室 906:機器人 908:傳送盒 912:基座 914:處理腔室 916:腔室傳輸埠口 918:加熱式基座 950:系統控制器 952:處理器 954:大量儲存裝置 956:記憶裝置 958:系統控制軟體 990:晶圓搬運系統
圖1呈現一示例性實施例的操作的流程圖。
圖2A及2B呈現間隙填充的示例性實施例的圖式。
圖3呈現一示例性實施例的操作的流程圖。
圖4呈現原子層沉積處理的操作的流程圖。
圖5A呈現壓力開關在較高壓下操作處理腔室的圖式。
圖5B呈現一示例性實施例的操作的流程圖。
圖6~圖9為用於執行所揭示實施例的方法的處理腔室的示例的示意圖。
200:結構
201:結構
202:空隙
203:結構
204:側壁
205a:結構
206a:特徵部
206b:頂部重入特徵部
206c:深度重入特徵部
207a:結構
208:層
209a:結構
210a,210b:介電質材料
211:結構
212:介電質材料
213:結構
214:介電質材料
215a:結構
216a,216b,216c:間隙
217a:結構
219a:結構
221:結構
223:結構
225a:結構
227a:結構
229a:結構

Claims (24)

  1. 一種方法,包括: 在處理腔室中提供一基板,該基板的結構係具有一間隙;及 執行下列步驟的第一組一或更多循環: (a) 將該基板暴露於包含抑制性氣體的電漿,以抑制該間隙的一部分上的沉積;及 (b) 在(a)後,在該間隙中沉積介電質材料;及 在執行該第一組一或更多循環後,在該間隙中沉積額外介電質材料,其中該處理腔室在(a)及(b)期間的壓力係至少為8 Torr。
  2. 如請求項1之方法,其中該處理腔室在(a)及(b)期間的該壓力係介於約8 Torr與約30 Torr之間。
  3. 如請求項1之方法,其中該處理腔室在(a)及(b)期間的該壓力係介於約8 Torr與約100 Torr之間。
  4. 如請求項1之方法,其中該處理腔室在(b)期間的該壓力係與該處理腔室在(a)期間的該壓力不同。
  5. 如請求項1之方法,其中該處理腔室在(b)期間的該壓力係與該處理腔室在(a)期間的該壓力相同。
  6. 如請求項1之方法,其中在(b)期間沉積介電質材料的步驟係使用原子層沉積(ALD)處理執行。
  7. 如請求項6之方法,其中該ALD處理係電漿增強ALD處理。
  8. 如請求項1之方法,更包括在(a)之前,使用ALD處理在該間隙中沉積保形襯墊膜。
  9. 如請求項1至8中任一項之方法,其中該抑制性氣體係含鹵素氣體。
  10. 如請求項1至8中任一項之方法,其中該抑制性氣體係含氮氣體。
  11. 如請求項1至8中任一項之方法,其中該處理腔室在(a)及(b)期間的溫度係介於約200°C與約800°C之間。
  12. 如請求項1至8中任一項之方法,其中該電漿具有每一基板介於約250 W與約1500 W之間的高頻成分功率。
  13. 如請求項1至8中任一項之方法,其中該電漿具有每一基板介於約250 W與約1250 W之間的低頻成分功率。
  14. 如請求項1至8中任一項之方法,其中該介電質材料係含矽材料。
  15. 如請求項1至8中任一項之方法,其中該介電質材料係矽氧化物。
  16. 一種系統,包括: 處理腔室; 第一閥,控制第一處理氣體進入該處理腔室的流動; 第二閥,控制第二處理氣體進入該處理腔室的流動; 控制器,配置以: 判斷該處理腔室的壓力超出第一閾值;及 使第一閥將該第一處理氣體的流動轉向而不流入該處理腔室,其中該第二閥係維持將該第二處理氣體流入該處理腔室。
  17. 如請求項16之系統,更包括第一壓力開關,其中該第一壓力開關係配置以當該處理腔室超出該第一閾值時自動將該第一處理氣體轉向。
  18. 如請求項17之系統,更包括第二壓力開關,其中該第二壓力開關係配置以當該處理腔室超出第二閾值時自動將該第二處理氣體轉向,其中該第二閾值係高於該第一閾值。
  19. 如請求項16之系統,其中該第一閾值係約為30 Torr。
  20. 如請求項16之系統,其中該控制器係進一步配置以判斷該處理腔室的壓力超出比該第一閾值更高的第二閾值,以及基於判斷該壓力超出該第二閾值而使該第二閥將該第二處理氣體的流動轉向而不流入該處理腔室。
  21. 如請求項20之系統,其中該第二閾值係約為550 Torr。
  22. 如請求項16之系統,其中該第一處理氣體係包括危險生產材料。
  23. 如請求項16之系統,其中該第一處理氣體係包括含矽前驅物。
  24. 如請求項16之系統,其中該第二處理氣體係包括惰性氣體。
TW112105117A 2022-02-15 2023-02-14 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程 TW202346626A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263268057P 2022-02-15 2022-02-15
US63/268,057 2022-02-15
US202263365475P 2022-05-27 2022-05-27
US63/365,475 2022-05-27

Publications (1)

Publication Number Publication Date
TW202346626A true TW202346626A (zh) 2023-12-01

Family

ID=87579090

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112105117A TW202346626A (zh) 2022-02-15 2023-02-14 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程

Country Status (2)

Country Link
TW (1) TW202346626A (zh)
WO (1) WO2023159012A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR20210050453A (ko) * 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조

Also Published As

Publication number Publication date
WO2023159012A1 (en) 2023-08-24

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US20110256734A1 (en) Silicon nitride films and methods
US20230175117A1 (en) Seam mitigation and integrated liner for gap fill
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
US20230002887A1 (en) In-situ pecvd cap layer
TW202346626A (zh) 用以改善膜接縫品質及wer的高壓惰性氧化及原位退火製程
TW202345205A (zh) 在介電間隙填充期間使側壁粗糙度平滑化並維持凹入結構的方法
TW202418351A (zh) 表面抑制原子層沉積
TW202342797A (zh) 高壓電漿抑制
TW202409322A (zh) 橫向間隙填充
WO2023076524A1 (en) Atomic layer deposition seam reduction
WO2024091844A1 (en) Fluorine reduction is silicon-containing films
WO2024102763A1 (en) A robust icefill method to provide void free trench fill for logic and memory applications
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
WO2023164717A1 (en) Surface inhibition atomic layer deposition
WO2023205284A1 (en) Lateral gap fill
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程