JP2021526585A - 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング - Google Patents

金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング Download PDF

Info

Publication number
JP2021526585A
JP2021526585A JP2020567106A JP2020567106A JP2021526585A JP 2021526585 A JP2021526585 A JP 2021526585A JP 2020567106 A JP2020567106 A JP 2020567106A JP 2020567106 A JP2020567106 A JP 2020567106A JP 2021526585 A JP2021526585 A JP 2021526585A
Authority
JP
Japan
Prior art keywords
protective film
substrate
process chamber
chamber
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020567106A
Other languages
English (en)
Other versions
JPWO2019231599A5 (ja
JP7391047B2 (ja
Inventor
サルタン マリク,
サルタン マリク,
シュリーニヴァース ディー. ネマニ,
シュリーニヴァース ディー. ネマニ,
チーウェイ リャン,
チーウェイ リャン,
アディーブ カーン,
アディーブ カーン,
マクシミリアン クレモンズ,
マクシミリアン クレモンズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021526585A publication Critical patent/JP2021526585A/ja
Publication of JPWO2019231599A5 publication Critical patent/JPWO2019231599A5/ja
Application granted granted Critical
Publication of JP7391047B2 publication Critical patent/JP7391047B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

本明細書のシステム及び方法の実施形態は、ALD又はCVDを介して、プロセスチャンバの複数の内部構成要素上にインシトゥで保護膜を形成することを対象とする。保護膜でコーティングされた内部構成要素には、チャンバ側壁、チャンバ底部、基板支持ペデスタル、シャワーヘッド、及びチャンバ上部が含まれる。保護膜は、アモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO2、Al2O3、AlON、HfO2、又はNi3Alを含む様々な組成のものであり得、約80nmから約250nmまでの様々な厚さであり得る。【選択図】図1

Description

本開示の実施形態は、概して、1又は複数の基板を処理するための装置、システム、及び方法、より具体的には、プロセスチャンバを準備するための装置、システム、及び方法に関する。
基板プロセスチャンバは、200℃を超える高温と1バールを超える高圧に暴露され得、プロセスチャンバの内部構成要素の劣化につながり得る過酷な腐食環境を作り出す。内部構成要素は、特に基板処理中にプロセスチャンバに導入されるプロセスガスと相まって、これらの高温高圧環境に暴露される。この腐食を低減するため、様々なコーティング及び膜が採用され得るが、それらのコーティング及び膜は、処理条件に耐えるほど十分に頑丈ではなく、プロセスチャンバの使用中に腐食又はさもなければ劣化し得る。この腐食は、例えば、腐食した表面のフレーキング又は剥離、あるいは他の方法で分離して基板上へ落下して基板を汚染することによって、処理された1又は複数の基板に悪影響を与え得る。
したがって、当技術分野では、プロセスチャンバの内部構成要素の保護を改善する必要がある。
プロセスチャンバの内面を含む表面上に保護膜を形成する様々な方法が本明細書に記載される。一例では、本方法は、プロセスチャンバ内において、ALD又はCVDを介して、チャンバ側壁、チャンバ底部、基板支持ペデスタル、シャワーヘッド、及びチャンバ上部を含む複数の内部構成要素上に保護膜を形成することと、保護膜を形成した後に、基板支持ペデスタル上に基板を配置することとを含む。基板は、基板支持ペデスタルの保護膜を含まない部分と接触する。
別の例では、プロセスチャンバを保護する方法は、プロセスチャンバに少なくとも1つのガスを導入することと、プロセスチャンバにおける少なくとも1つのガスの配置に応じて、プロセスチャンバの複数の内部構成要素から第1の保護膜を除去することとを含む。本方法は、プロセスチャンバ内において、ALD又はCVDを介して、複数の内部構成要素上に第2の保護膜を形成することを更に含み、第2の保護膜はアモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む。更に、この例では、本方法は、第2の保護膜を形成した後に、基板支持ペデスタル上に基板を配置することを含む。
別の例では、基板を処理する方法は、プロセスチャンバに少なくとも1つのガスを導入することと、プロセスチャンバにおける少なくとも1つのガスの配置に応じて、プロセスチャンバの複数の内部構成要素から第1の保護膜を除去することとを含む。本方法は、プロセスチャンバ内においてALD又はCVDを介して複数の内部構成要素上に第2の保護膜を形成することを更に含み、第2の保護膜はアモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む。本方法は、第2の保護膜を形成した後に、基板支持ペデスタル上に基板を配置することを更に含む。
本開示の特定の実施形態に係る例示的な基板プロセスチャンバの断面図である。 本開示の特定の実施形態に係るプロセスチャンバにおける保護膜のインシトゥ形成の方法である。
本明細書に記載のシステム及び方法は、プロセスチャンバがプロセスチャンバの内部構成要素の腐食又は他の劣化なしに400℃を超える持続的な処理温度に耐えることができるような基板プロセスチャンバの準備に関する。プロセスチャンバの内部構成要素は、アルミニウム、ステンレス鋼、ニッケルベースの超合金、又はプロセスチャンバの高温、高圧、及び/又はエッチャントガスによって劣化し得る他の材料から形成され得る。内部構成要素の劣化は、プロセスチャンバに配置された1又は複数の基板を汚染する1又は複数の内部構成要素からの金属粒子状物質につながり得る。この汚染は、プロセスチャンバでの即時処理と下流工程の両方に悪影響を及ぼす。更に、内部プロセスチャンバ構成要素の劣化により、内部構成要素の寿命が短くなり、整備及びダウンタイムのコストが増加する。
本明細書に記載の保護膜は、プロセスチャンバの内部構成要素を腐食及び侵食から保護し、プロセスチャンバ及びその中で製造される基板の汚染発生を低減する。保護膜は、1バール以上の圧力下で作動する高圧プロセスチャンバを含むプロセスチャンバを腐食から保護するために使用される。保護膜は、化学気相堆積(CVD)又は原子層堆積(ALD)を使用して、プロセスチャンバの内側チャンバ本体の内部にインシトゥ形成される。保護膜は、内側チャンバ本体壁、シャワーヘッドを含む内側チャンバ本体の一部又はすべての露出面上、及び基板支持ペデスタルの少なくとも一部上に形成される。一例では、プロセスチャンバのシャワーヘッドを用いて、保護膜を形成する1又は複数の前駆体を分配する。幾つかの実施形態では、プロセスチャンバの基板支持ペデスタルの上面の幾つか又は全てに保護カバーが配置される。保護カバーは、基板の直径以上であるペデスタル上の領域が堆積中に保護膜によってコーティングされないように、基板支持ペデスタル上に配置され得る。保護カバーは、基板の裏側を、下流工程に悪影響を与え得る保護膜による汚染から保護するために使用され得る。一例では、保護膜が堆積された後に基板が基板支持ペデスタル上のプロセスチャンバに配置されるときは、基板は保護膜と接触しない。代替実施形態では、保護カバーは使用されない。この例では、1又は複数の基板が処理のために配置される場所を含む基板支持ペデスタルの表面全体が保護膜で覆われる。
保護膜はインシトゥ形成され、1又は複数の基板又は基板のバッチがプロセスチャンバ内で処理された後にインシトゥ除去され得る。対照的に、プロセスチャンバのエクスシトゥコーティングには、再コーティングによるダウンタイム等の課題がある。保護膜を含むプロセスチャンバ、又は保護膜の形成に続く他のチャンバでの基板処理は、1又は複数の層の堆積を含み得る。様々なアスペクト比の複数の特徴の形成、及び/又は幾つかの実施形態では、ハードマスクのパターニングを含むハードマスキングによる基板の処理が含まれ得る。一実施形態では、保護膜の除去は、フッ素(F)ガス又は三フッ化窒素(NF)ガスを含む1又は複数のガスを使用して実施され得る。F又はNFガスは、リモートプラズマ源(RPS)で、又はプロセスチャンバでインシトゥでイオン化されてプラズマになり得る。一例では、RPSを使用してNFプラズマが形成される。保護膜を除去した後に、以前に適用された保護膜と同じ又は異なる組成の新たな保護膜が、内側チャンバ本体に適用され得る。保護膜は、アモルファスシリコン(a−Si)、カルボシラン、ポリシリコン、炭化ケイ素(SiC)、窒化ケイ素(SiN)、二酸化ケイ素(SiO)、酸化アルミニウム(Al)、酸窒化アルミニウム(AlON)、酸化ハフニウム(HfO)、ニッケルアルミナイド(NiAl)、又はそれらの組み合わせを含む材料から形成される。したがって、本明細書に記載の保護膜の使用により、プロセスチャンバの内部構成要素の寿命が延び、それらの構成要素の腐食によって引き起こされる廃物が低減する。
図1は、本開示の特定の実施形態に係る例示的なプロセスチャンバ100の断面図である。プロセスチャンバ100は、本開示の特定の実施形態に従って形成された保護膜120を有する。プロセスチャンバ100は、内側チャンバ本体104の周りに形成された外側チャンバ本体102を含む。一実施形態では、外側チャンバ本体102はアルミニウムを含み、内側チャンバ本体104はステンレス鋼を含む。代替実施形態では、外側チャンバ本体102はアルミニウムを含み、内側チャンバ本体104は、石英、アルミニウム、又はHastealloy(登録商標)等のニッケルベースの超合金を含む。内側チャンバ本体104は、チャンバ壁122、チャンバ底部124、及びチャンバリッド108の底面によって形成されるチャンバ上部108Aによって画定され得る。一実施形態では、外側チャンバ本体102と内側チャンバ本体104との間の空間132に、真空が形成される。一実施形態では、チャンバ壁122、チャンバ底部124、チャンバ上部108A、及び本明細書に記載の追加の構成要素は、ステンレス鋼、石英、ニッケルベースの超合金、又はアルミニウム等の1又は複数の材料から形成され得る。内側チャンバ本体104は、高温、圧力、及び幾つかの例では、内側チャンバ本体104の構成要素を腐食及び劣化させ得るエッチャントガスに暴露される。一例では、プロセスチャンバ100は、遠隔プラズマ源(RPS)112、ガスパネル118、凝縮器114、及び蒸気発生器116に連結されている。
プロセスチャンバ100が作動中の一実施形態では、プロセスチャンバ100は、加圧され得、様々な方法で温度制御され得る。一例では、処理空間134内の圧力は、内側チャンバ本体104と真空ポンプ138との間に位置するスロットルバルブ136を使用して制御される。チャンバ壁122の表面温度は、1又は複数の加熱要素128Aを使用して制御される。1又は複数の加熱要素128Aは、チャンバ壁122及び/又は内側チャンバ本体104のチャンバリッド108に位置する固体又は液体含有要素であり得る。幾つかの例では、1又は複数の加熱要素128Aが基板支持ペデスタル106に配置されている。一例では、チャンバリッド108は、100℃から300℃に加熱され得る。複数の加熱要素128Aは、内側チャンバ本体104のチャンバ壁122に配置され、電源128に電気的に結合され得る。図1の例では、加熱要素128Aは、チャンバ壁122に配置又は連結された2対の加熱要素128A、基板支持ペデスタル106に配置又は連結された1対の加熱要素128A、及びチャンバリッド108に配置又は連結された1対の加熱要素128Aとして示されている。代替実施形態では、図1に図示したものよりも多い又は少ない加熱要素128Aが、チャンバ壁122、チャンバリッド108、及び幾つかの実施形態では、基板支持ペデスタル106の内部又は基板支持ペデスタル106に連結された様々な構成で使用され得る。プロセスチャンバ100でのエッチングの一例では、RPS112を介して導入され得る又は以下に説明する他の手段を介してプロセスチャンバ100に形成され得るプラズマから、化学反応性イオンが放出される。化学反応性イオンは、プラズマから放出されると、基板支持ペデスタル106上に配置された基板146に衝突する。化学反応性イオンが基板に衝突すると、基板146の表面から露出した材料が除去される。
プロセスチャンバ100の別の例では、プラズマは、RF源電力140及びRFバイアス電力142を使用して生成され得る。RF源電力140及びRFバイアス電力142のそれぞれは、プロセスチャンバ100に結合され、電力、及び幾つかの例では電力のパルスを、チャンバ壁122及び/又は基板支持ペデスタル106に印加するように構成される。幾つかの例では、基板支持ペデスタル106に負のバイアスが印加され得る。一例では、ガスパネル118に連結されたガス源(図示せず)から処理空間134内に形成されたガス混合物は、RF源電力140からのRF電力を複数のアンテナセグメント(図示せず)に印加することによってプラズマに点火される。
更に、プロセスチャンバ100において、シャワーヘッド110は、チャンバ上部108Aの近くでプロセスチャンバ100に取り外し可能に連結される。シャワーヘッド110は、複数のガス、例えば、ガスパネル118を介して供給されるガスを内側チャンバ本体104に分配するために使用される。シャワーヘッド110は、基板処理工程中、及び本明細書に記載の保護膜の堆積及び除去中、又はプロセスチャンバ100内で実施される他の洗浄工程中に、ガス及びガス混合物を分配するために使用され得る。シャワーヘッド110は、複数の島126と、隣接する島126の各対との間に形成された複数の空間144とを含み得る。島126は、ガスがシャワーヘッド110を通って処理空間134に流れるように接続され(本書では図示せず)、特に、ガスは、シャワーヘッド110を通って空間144の間と処理空間134の中へ流れる。したがって、本明細書に記載の保護膜120が形成される場合は、保護膜120を形成するのにシャワーヘッド110が使用されるため、保護膜は、保護膜120を形成するために使用されるガス成分に暴露されるシャワーヘッド110の表面上に形成される。保護膜120はまた、チャンバ壁122を含む、内側チャンバ本体104の露出面上に形成される。本明細書の他の例と組み合わされ得る幾つかの例では、リッド108A、基板支持ペデスタル106、及びチャンバ底部124のうちの1又は複数、ならびに他の任意の露出面上にも、保護膜120が形成され得る。
一実施形態では、コントローラ130は、プロセスチャンバ100に連結され、複数の命令を実行するように構成される。一例では、コントローラ130によって実行される複数の命令は、基板処理に関連している。基板処理には、層の堆積やパターニング、チャンバの洗浄等の工程が含まれ得る。別の例では、コントローラ130によって実行される複数の命令は、チャンバ壁122、底部124、上部108A、基板支持ペデスタル106、又は内側チャンバ本体104の他の露出面のうちの1又は複数に保護膜120を形成することに関連している。一実施形態では、基板支持ペデスタル106上に、基板支持ペデスタル106の直径106Aの一部又は全部を覆う保護カバー(図示せず)が配置され得る。したがって、オプションとして、この例ではコーティングされていない領域106Bとして示される基板支持ペデスタル106の一部は、保護膜120でコーティングされておらず、露出したままである。保護膜120は、シャワーヘッド110上にも形成され得、80nmから250nm又は90nmから120nmの平均厚さに形成され得る。幾つかの例では、保護膜120の平均厚さは約100nmである。本明細書の他の例と組み合わされ得る代替例では、保護カバーは使用されず、直径106Aによって示される領域は、保護膜120でコーティングされる。
プロセスチャンバ100の作動中、保護膜120を形成した後に、基板支持ペデスタル106のコーティングされていない領域106B上に基板146が配置される。ガス成分は、ガスの入口ポートとして機能するシャワーヘッド110の複数の島126を介して、ガスパネル118からプロセスチャンバ100に供給される。1又は複数のガス成分は、ガスパネル118から同時に、又は交互及び/又は反復的に供給されて、処理空間134においてガス混合物を形成する。保護膜120は、保護膜120を除去するためにシャワーヘッド110を介してプロセスチャンバ100にF又はNFプラズマを形成する(又はRPS112を介してプラズマを導入する)ことによって除去され得る。保護膜120の除去は、プロセスチャンバにおける1又は複数のサイクルの後に、例えば、1又は複数の膜(本書に図示せず)が基板146上に形成された後に、又は複数の膜の形成又は複数の膜のパターニング後に、あるいはそれらの組み合わせにおいて、行われ得る。
一実施形態では、基板146の処理中、基板支持ペデスタル106の温度を安定化することによって、プロセスチャンバ100に配置された基板146の温度が制御される。ガスパネル118からのヘリウム又は別のガスは、基板146と基板支持ペデスタル106の支持表面106Cとの間に設けられたプレナム(図示せず)に流れ込む。基板146は、コーティングされていない領域106Bに着座している。ヘリウムガスは、基板146と基板支持ペデスタル106との間の熱伝達を容易にするために使用される。エッチングプロセスを含み得るプロセスチャンバ100でのプロセス中に、基板146は、プラズマによって、200℃から600℃の間の定常状態温度に徐々に加熱される。チャンバ108Aの上部と基板支持ペデスタル106の両方、及び場合によってはチャンバ壁122の熱制御を使用して、処理中の基板146が所定の温度に維持される。プロセスチャンバ100が高温及び/又は高圧下で使用される場合、内側チャンバ本体104は、過酷な環境に暴露される。プロセスチャンバ100でのエッチャントガスの存在により、内側チャンバ本体104が経験する環境が更に過酷さを増し得る。したがって、保護膜120は、内側チャンバ本体104を保護し、チャンバ上部108A、チャンバ底部124、チャンバ壁122、シャワーヘッド110、又は基板支持ペデスタル106のうちの1又は複数の劣化を防止する。
図2は、図1のプロセスチャンバ100と同様であり得るプロセスチャンバの保護膜120等の保護膜のインシトゥ形成の方法200である。方法200では、工程202において、インシトゥ保護膜形成のためにプロセスチャンバが準備される。工程202におけるプロセスチャンバの準備は、プロセスチャンバを約300℃から約600℃の温度に加熱することと、例えばNを使用して外側チャンバ本体と内側チャンバ本体との間に真空を確立することとを含み得る。工程202における準備は、内側チャンバ本体の圧力を約1トルから約600トルに確立することを更に含み得る。工程202における準備は、内側チャンバ本体と外側チャンバ本体との間に真空が維持されている間に実施され得る。
工程204において、工程202におけるプロセスチャンバの準備後に、保護膜が、露出面とも称され得るプロセスチャンバの複数の内部構成要素上に形成される。保護膜は、工程204において、以下に説明するような前駆体を使用して、80nmから250nmであり得る目標厚さに形成される。内部構成要素は、図1に示すように、基板支持ペデスタル、内側チャンバ本体壁、上部、下部、及びシャワーヘッド特徴のうちの少なくとも1つを含む。一例では、工程204において形成される保護膜は、化学気相堆積(CVD)を使用して形成される。別の例では、工程204において形成される保護膜は、原子層堆積(ALD)を使用して形成される。一実施形態では、工程204においてCVD又はALDのどちらが使用されるかに関係なく、プロセスチャンバは2トルから30トルの圧力下にある。本明細書の他の例と組み合わされ得る別の例では、工程204におけるプロセスチャンバの温度は、250℃から750℃である。本明細書の他の例と組み合わされ得る更に別の例では、工程204において、Si、SiH、N、NO、NH、Ar、又はH等の1又は複数のガスがプロセスチャンバに存在する。工程204においてALDが使用される例では、ALD前駆体テトラキス(エチルメチルアミド)ハフニウム(IV)(TEMAH)及びアルミニウムトリメタニド(TMA)が1又は複数の前駆体と共にALDチャンバで使用され、Al、AlON、HfO、又はNiAlの組成を有する保護膜が形成される。別の実施形態では、工程204におけるALDにより、アモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、又はSiOのうちの1又は複数を含む組成の保護膜が形成される。
工程204において形成される保護膜は、80nmから250nmの平均厚さに形成され得る。工程202及び204は、プロセスチャンバに配置された基板なしで実行される。工程206において、基板又は基板のバッチ(2つ以上)がプロセスチャンバに配置され、続いて工程208において処理される。プロセスチャンバに配置された基板(複数可)は、基板支持ペデスタル上に配置され得る。一実施形態では、工程208において基板(複数可)を処理することは、基板(複数可)に複数の特徴を形成することを含む。工程208における処理中、プロセスチャンバの温度は、約200℃から約600℃以上であり得、プロセスチャンバの圧力は、1バールから約250バールであり得る。
工程208における処理の後に、工程210において基板がプロセスチャンバから取り出される。一実施形態では、工程210において基板を取り出した後に、工程204において形成された保護膜が工程212で除去される。工程212における膜の除去は、フッ素含有プラズマ又は他の適切な洗浄剤を使用して実施され得る。プロセスチャンバのシャワーヘッドを使用して、これらの要素が分配され得る。工程210における膜の除去中、洗浄剤(複数可)が使用された後に、不活性ガスを用いてプロセスチャンバがパージされ得る。保護膜は、プロセスチャンバの内部を、工程208等の処理工程中の性能の観点からプロセスチャンバの構成要素の完全性を低下させ得るだけではない腐食から保護する。しかしながら、保護膜は、例えば、膜の一部が剥がれるか、さもなければ基板上に落ちた場合に、基板処理中の汚染リスクももたらし得る。工程204において形成された膜は、工程208で各基板又は基板のバッチが処理された後に形成及び除去され得る。本明細書に記載のシステム及び方法を使用して、異なる組成及び厚さの保護膜を同じチャンバ構成要素上に形成することが可能である。一実施形態では、膜が工程212で除去される前に、複数の基板又は基板のバッチが工程208〜210において処理及び取り出され得る。
本明細書に記載のプロセスチャンバ等のデバイス又は装置がプロセスチャンバの内側からコーティングされるインシトゥコーティングは、均一な厚さの保護コーティングを提供するために本明細書で使用される。幾つかの例では、本明細書に記載の保護コーティングは、1バール以上の高圧用途に使用されるプロセスチャンバの内部構成要素上に形成され得る。これは、腐食性の高圧用途の場合に、コーティングされた構成要素から剥がれるか、さもなければ剥離する可能性があるエクスシトゥコーティングとは対照的である。保護コーティングのこの劣化は、頻繁な再コーティング工程につながり得る。
前述の内容は本開示の実施形態を対象としているが、以下の特許請求の範囲によって決定されるその基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考案することが可能である。

Claims (15)

  1. 基板を処理する方法であって、
    プロセスチャンバ内において、ALD又はCVDを介して、チャンバ側壁、チャンバ底部、基板支持ペデスタル、シャワーヘッド、及びチャンバ上部を含む複数の内部構成要素上に保護膜を形成することと、
    前記保護膜を形成した後に、前記基板支持ペデスタル上に基板を配置することであって、前記基板は前記基板支持ペデスタルの前記保護膜を含まない部分と接触する、前記基板支持ペデスタル上に前記基板を配置することと
    を含む方法。
  2. 前記保護膜を形成する前に、前記プロセスチャンバを約300℃から約600℃の予熱温度に加熱することを更に含み、前記保護膜の形成は前記プロセスチャンバが前記予熱温度にある間に行われる、請求項1に記載の方法。
  3. 前記保護膜を形成する前に、前記プロセスチャンバを約1トルから約600トルの圧力に加圧することを更に含む、請求項1に記載の方法。
  4. 前記基板支持ペデスタル上に前記基板を配置する前に、前記プロセスチャンバの処理温度を約200℃から約600℃に設定することを更に含む、請求項1に記載の方法。
  5. 前記基板を配置した後に、前記プロセスチャンバ内において少なくとも1つの工程を実施することと、
    続いて、前記プロセスチャンバから前記基板を取り出すことと、
    前記プロセスチャンバを洗浄することであって、前記プロセスチャンバの洗浄により、前記プロセスチャンバの前記複数の内部構成要素から前記保護膜が除去される、前記プロセスチャンバを洗浄することと
    を更に含む、請求項4に記載の方法。
  6. フッ素含有プラズマを使用して、前記プロセスチャンバの前記複数の内部構成要素から前記保護膜を除去することを更に含む、請求項5に記載の方法。
  7. 前記膜が、アモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む、請求項5に記載の方法。
  8. プロセスチャンバを保護する方法であって、
    プロセスチャンバに少なくとも1つのガスを導入することと、
    前記プロセスチャンバ内における前記少なくとも1つのガスの配置に応じて、前記プロセスチャンバの複数の内部構成要素から第1の保護膜を除去することと、
    前記プロセスチャンバ内において、ALD又はCVDを介して、前記複数の内部構成要素上に第2の保護膜を形成することであって、前記第2の保護膜はアモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む、前記複数の内部構成要素上に第2の保護膜を形成することと、
    前記第2の保護膜を形成した後に、基板支持ペデスタル上に基板を配置することと
    を含む方法。
  9. 前記第2の保護膜を80nmから250nmの厚さに形成することを更に含む、請求項8に記載の方法。
  10. 前記第1の保護膜が第1の材料を含み、前記第2の保護膜が第2の材料を含み、前記第1の材料は前記第2の材料とは異なる、請求項8に記載の方法。
  11. 前記第2の保護膜を形成する前に、前記第2の保護膜が前記基板支持ペデスタルの一部上に形成されないように前記基板支持ペデスタルの少なくとも一部上にカバーを配置することを更に含む、請求項8に記載の方法。
  12. 前記保護膜を形成する前に、前記プロセスチャンバを約300℃から約600℃の予熱温度に加熱することを更に含み、前記第2の保護膜の形成は前記プロセスチャンバが前記予熱温度にある間に行われる、請求項8に記載の方法。
  13. 基板を処理する方法であって、
    プロセスチャンバ内において、ALD又はCVDを介して、チャンバ側壁、チャンバ底部、基板支持ペデスタル、シャワーヘッド、及びチャンバ上部を含む複数の内部構成要素上に保護膜を形成することであって、前記保護膜はアモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む、複数の内部構成要素上に保護膜を形成することと、
    前記保護膜を形成した後に、前記基板支持ペデスタル上に基板を配置することであって、前記保護膜は前記基板支持ペデスタルの一部上に形成されておらず、前記基板は前記基板支持ペデスタルの前記保護膜を含まない前記一部と接触する、前記基板支持ペデスタル上に前記基板を配置することと
    を含む方法。
  14. 前記保護膜を形成する前に、前記プロセスチャンバを約300℃から約600℃の予熱温度に加熱することを更に含み、前記保護膜の形成は前記プロセスチャンバが前記予熱温度にある間に行われ、前記保護膜を形成する前に、前記プロセスチャンバが約1トルから約600トルに加圧される、請求項13に記載の方法。
  15. 前記基板支持ペデスタル上に前記基板を配置する前に、前記プロセスチャンバの処理温度を約200℃から約600℃に設定することを更に含み、前記基板支持ペデスタル上に前記基板を配置する前は、前記チャンバのプロセス圧力が1バールから約250バールであり得る、請求項13に記載の方法。
JP2020567106A 2018-06-01 2019-04-29 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング Active JP7391047B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862679410P 2018-06-01 2018-06-01
US62/679,410 2018-06-01
US16/383,354 US10704141B2 (en) 2018-06-01 2019-04-12 In-situ CVD and ALD coating of chamber to control metal contamination
US16/383,354 2019-04-12
PCT/US2019/029602 WO2019231599A1 (en) 2018-06-01 2019-04-29 In-situ cvd and ald coating of chamber to control metal contamination

Publications (3)

Publication Number Publication Date
JP2021526585A true JP2021526585A (ja) 2021-10-07
JPWO2019231599A5 JPWO2019231599A5 (ja) 2022-05-06
JP7391047B2 JP7391047B2 (ja) 2023-12-04

Family

ID=68692839

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020567106A Active JP7391047B2 (ja) 2018-06-01 2019-04-29 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング

Country Status (6)

Country Link
US (1) US10704141B2 (ja)
JP (1) JP7391047B2 (ja)
KR (1) KR20210005961A (ja)
CN (1) CN112154534A (ja)
TW (1) TWI821285B (ja)
WO (1) WO2019231599A1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
JP6597740B2 (ja) * 2017-08-30 2019-10-30 東京エレクトロン株式会社 成膜方法及び成膜装置
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
WO2021158803A1 (en) * 2020-02-07 2021-08-12 Lam Research Corporation In situ surface coating of process chamber
CN111344852B (zh) * 2020-02-10 2021-08-31 长江存储科技有限责任公司 金属污染测试装置和方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113823546A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种反应腔体及其处理方法
WO2021262482A1 (en) * 2020-06-23 2021-12-30 Lam Research Corporation Sealing surfaces of components used in plasma etching tools using atomic layer deposition
EP3954804A1 (de) * 2020-08-14 2022-02-16 Siltronic AG Vorrichtung und verfahren zum abscheiden einer schicht aus halbleitermaterial auf einer substratscheibe
KR102549555B1 (ko) * 2021-02-26 2023-06-29 (주)포인트엔지니어링 공정 챔버용 부품 및 보호막 처리 장치
JP2022143281A (ja) * 2021-03-17 2022-10-03 キオクシア株式会社 基板処理装置及び基板の処理方法
KR20240011180A (ko) * 2021-06-04 2024-01-25 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN114086248A (zh) * 2021-11-25 2022-02-25 华虹半导体(无锡)有限公司 外延设备的腔室清洁方法

Family Cites Families (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (ja) * 1996-05-01 2003-04-28 株式会社東芝 Cvd装置及びそのクリーニング方法
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
JP4703810B2 (ja) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
DE10111972A1 (de) * 2001-03-13 2002-10-02 Siemens Ag Endegerät für die IP-Telefonie
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
CN1757098B (zh) 2003-02-04 2010-08-11 应用材料有限公司 利用具有氨的超低压快速热退火调节氧氮化硅的氮分布曲线
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
JP2005005065A (ja) 2003-06-10 2005-01-06 Kunihide Tachibana プラズマ処理方法およびプラズマ処理装置
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
KR20060060731A (ko) 2004-03-31 2006-06-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
JP4720266B2 (ja) 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
DE602005012068D1 (de) 2005-06-10 2009-02-12 Obducat Ab Kopieren eines Musters mit Hilfe eines Zwischenstempels
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR101370301B1 (ko) 2009-11-20 2014-03-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
KR101568748B1 (ko) 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 반도체 장치의 제조 장치 및 기록 매체
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
KR20140090445A (ko) * 2013-01-09 2014-07-17 삼성디스플레이 주식회사 기판 처리 장치
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
JP2014192484A (ja) 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
KR20150000384U (ko) * 2013-07-16 2015-01-26 씨에스텍 주식회사 내부식성 코팅된 반도체 제조 부품
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
KR101825673B1 (ko) 2013-08-21 2018-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 박막 제조들에서의 가변 주파수 마이크로파(vfm) 프로세스들 및 애플리케이션들
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
CN107257867B (zh) 2014-10-24 2021-03-16 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
TWI585230B (zh) 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
CN108475695B (zh) 2016-01-05 2021-10-15 应用材料公司 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
CN109791913A (zh) 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
CN110637353A (zh) 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Also Published As

Publication number Publication date
US10704141B2 (en) 2020-07-07
KR20210005961A (ko) 2021-01-15
WO2019231599A1 (en) 2019-12-05
TW202003903A (zh) 2020-01-16
TWI821285B (zh) 2023-11-11
CN112154534A (zh) 2020-12-29
US20190368035A1 (en) 2019-12-05
JP7391047B2 (ja) 2023-12-04

Similar Documents

Publication Publication Date Title
JP7391047B2 (ja) 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング
TWI753163B (zh) 用於腔室組件之多層電漿腐蝕保護
TWI399809B (zh) 半導體裝置之製造方法、潔淨方法及基板處理裝置
TWI674617B (zh) 用於在電漿清潔製程之後執行電漿處理製程的方法
US6659111B1 (en) Cleaning gas and method for cleaning vacuum treatment apparatus by flowing the cleaning gas
JP4823628B2 (ja) 基板処理方法および記録媒体
US8021717B2 (en) Film formation method, cleaning method and film formation apparatus
US5810936A (en) Plasma-inert cover and plasma cleaning process and apparatus employing same
JP2021507513A (ja) 半導体処理のためのチャンバ構成要素のエクスサイチュコーティング
US20140272341A1 (en) Thermal treated sandwich structure layer to improve adhesive strength
JP5028755B2 (ja) 半導体処理装置の表面処理方法
US20100012153A1 (en) Method of cleaning film forming apparatus and film forming apparatus
US20130220377A1 (en) Method of cleaning a film-forming apparatus
JP2006128485A (ja) 半導体処理装置
KR100934511B1 (ko) Ti계 막의 성막 방법 및 기억 매체
TWI788654B (zh) 用於高溫腐蝕環境之基板支撐件蓋
US20060254613A1 (en) Method and process for reactive gas cleaning of tool parts
JP2002167673A (ja) Cvd成膜方法および付着物の除去方法
US20230287568A1 (en) ADVANCED BARRIER NICKEL OXIDE (BNiO) COATING DEVELOPMENT FOR PROCESS CHAMBER COMPONENTS VIA OZONE TREATMENT
TW202231899A (zh) 塗佈抗腐蝕金屬氟化物的製品、其製備方法及使用方法
JP2891991B1 (ja) プラズマcvd装置
JP2002285337A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220422

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220422

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230725

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231024

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231121

R150 Certificate of patent or registration of utility model

Ref document number: 7391047

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150