JP6710032B2 - Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置 - Google Patents

Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置 Download PDF

Info

Publication number
JP6710032B2
JP6710032B2 JP2015184688A JP2015184688A JP6710032B2 JP 6710032 B2 JP6710032 B2 JP 6710032B2 JP 2015184688 A JP2015184688 A JP 2015184688A JP 2015184688 A JP2015184688 A JP 2015184688A JP 6710032 B2 JP6710032 B2 JP 6710032B2
Authority
JP
Japan
Prior art keywords
plasma
substrate
silicon nitride
precursor
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015184688A
Other languages
English (en)
Other versions
JP2016066794A (ja
JP2016066794A5 (ja
Inventor
ジェームズ・エス.・シムズ
キャスリン・エム.・ケルフナー
ジョン・ヘンリー
デニス・エム.・ハウスマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016066794A publication Critical patent/JP2016066794A/ja
Publication of JP2016066794A5 publication Critical patent/JP2016066794A5/ja
Application granted granted Critical
Publication of JP6710032B2 publication Critical patent/JP6710032B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

半導体産業において、デバイス及び表面形状のサイズが縮小し続け、そのうえ、3Dデバイス構造(例えばインテル社のトリゲートトランジスタアーキテクチャー)が集積回路(IC)デザインで優勢になるにつれ、薄い共形膜を堆積する能力(非平面であっても、その下の構造の形状に対して厚みが均一な材料の膜)の重要性は、高まり続けるだろう。原子層堆積法(ALD)は、共形膜の堆積に適切な膜形成技術であり、それは、ALDの1サイクルで堆積できる材料薄層が1層だけであるという事実のためであり、その厚さは、膜形成化学反応自体以前に、基板表面上に吸着できる1つ以上の膜前駆体反応物の量によって制限される(すなわち、吸着限定層を形成する)。その後、所望の厚さの膜をビルドアップするため、複数の「ALDサイクル」を用いることができ、その各層が薄くかつ共形であるので、得られた膜は、その下にあるデバイス構造の形状に実質的に倣う。
窒化シリコン(SiN)膜は、誘電体膜の1つの重要な種類であり、現代のトランジスタデザインの製造において、ALDにより形成できる。これらのアーキテクチャーにおけるSiN膜の役割のために、SiN膜が低いウェットエッチング速度を有することは、しばしば望ましい。それでもなお、ALDプロセスによりこの耐エッチング性SiN膜を、典型的なサーマルバジェット制約を達成するように形成することは、困難であった。それゆえ、求められることは、この膜を形成する改良された方法及び装置である。
処理チャンバ内で、半導体基板上に、低いウェットエッチング速度を有するSiN膜を堆積する方法が、ここに開示される。この方法は、処理チャンバ内で、Siを備える膜前駆体が基板上に吸着制限層を形成するように、半導体基板上に膜前駆体を吸着することと、その後、吸着膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去することと、を含み得る。非吸着前駆体の除去の後、吸着した膜前駆体は、N含有イオン及び/又はラジカルを備えるプラズマにそれを曝露することにより、反応を生じて、基板上にSiN膜層を形成する。その後、当該方法は、0.5〜15秒間Heプラズマにそれを曝露することにより、SiN膜層の密度を高めることを、更に有してもよい。Heプラズマは、基板表面に対して約0.035〜2.2W/cm2の電力密度を有してもよい。前記ステップをその後反復して、基板上に他の高密度化SiN膜層を形成してもよい。
また、半導体基板上で低いウェットエッチング速度を有するSiN膜を堆積する装置が、ここに開示される。この装置は、処理チャンバ、処理チャンバ内の基板ホルダ、処理チャンバにガスを流動するための1つ以上のガス流入口、処理チャンバからガスを除去するための真空源、及び処理チャンバ内にプラズマを発生させるためのプラズマ発生器、を有してもよい。装置は、基板上にSiN膜層を堆積するため、1つ以上のガス流入口、真空源及びプラズマ発生器を動作させるための、機械可読な命令を備える1つ以上のコントローラを、更に有してもよい。これらの命令は、以下を含んでもよい:Siを備える膜前駆体を処理チャンバに流動させて、この膜前駆体を、基板ホルダに保持される半導体基板上に吸着させることにより、膜前駆体が基板上に吸着制限層を形成するように、1つ以上のガス流入口を動作するための命令、吸着した膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去するように、真空源を動作するための命令、非吸着膜前駆体を除去した後に実行され、N含有イオン及び/又はラジカルを含むプラズマを生成するようにプラズマ発生器を動作するための、及び、基板上にSiN膜層を形成するために前記プラズマに曝露することにより吸着した膜前駆体を反応させるための、命令、及び吸着した前駆体を反応させた後に実行され、基板表面に対して約0.035〜2.2W/cm2の電力密度を有する、Heを含むプラズマを生成するように、プラズマ発生器を動作するため、及び0.5〜15秒間前記プラズマにそれを曝露することにより、SiN膜層の密度を高めるための、命令。命令は、基板上に他の高密度化SiN膜層を形成するように、前述の命令を繰り返すことを、更に有してもよい。
堆積温度で各膜のウェットエッチング速度の依存症を示しているSiN膜のために、データを提示する図である。 ウェットエッチングの前後に440℃でSiN膜を堆積した基板表面形状の透過電子顕微鏡画像を提示する図である。 ウェットエッチングの前後に520℃でSiN膜を堆積した基板表面形状の透過電子顕微鏡画像を提示する図である。 SiN膜を形成するためのベースライン原子層堆積プロセスのフローチャートである。 ALDサイクルの付加的なステップとしてヘリウムプラズマ処理を用いた改変原子層堆積プロセスのフローチャートである。 様々な温度で様々なALD型膜形成技術を用いて堆積される一組の例示的なSiN膜のために、ウェットエッチング速度結果を提示する図である。結果は、実施例SiN膜ごとに、半導体基板上の高アスペクト比表面形状の上部領域及び側壁に対して、別々にプロットされる。 半導体基板の高アスペクト比表面形状の、図4Aにプロットされる結果に対応して、堆積されたままの及びエッチング後の、透過電顕観察(TEM)画像を表示する図である。 4点の漸次上昇温度におけるベースラインALDプロセスに対応するウェットエッチング速度結果、及び、プロセス特異的最適化のある無しにおけるALDサイクル中の、付加的なステップとしてのHeプラズマ処理を用いた改変ALDプロセスに対応したウェットエッチング速度結果を、提示する図である。 図4Cにプロットされたプロセス最適化の結果の1つに対応した、堆積されたままの及びエッチング後の、半導体基板の高アスペクト比表面形状の透過電顕観察(TEM)画像を表示する図である。 単一のプロセスステーションを有する処理チャンバを有する基板処理装置の断面概略図である。 2つのプロセスステーションから基板を搬入及び搬出するための基板ハンドラーロボット、プロセスステーションの間で基板を移動するためのカルーゼル、及び装置を動作するためのコントローラを有する、4ステーション基板処理装置の概略図である。
以下の説明では、多数の具体的な詳細は、本発明を完全に理解することを提供するために記載する。しかしながら、本発明はこれらの具体的な詳細の一部もしくは全部なしで実行することもできる。他の場合では、本願の発明の特徴を必要以上に隠さないように、周知のプロセス操作又はハードウェアは、詳細に記載しなかった。本発明を、具体的な詳細な実施形態とともに記載するが、これらの具体的な詳細な実施形態がここに開示される発明の概念の範囲を限定すると意図されないことを理解すべきである。
共形SiNは、従来は、耐エッチング性SiN膜を適切に生成する高温の大型の炉内で堆積される。次世代のデバイスの製造において、堆積温度を低減する必要性があるため、炉ベースの熱堆積法では、予測される性能条件を満たすことができない。しかしながら、プラズマ活性化ALDプロセスが特定の高温でウェットな耐エッチング性SiN膜を生成する能力を有するものの、高アスペクト比デバイス構造が考慮されるとき、特殊な手順/プロセス改変なしで低温が用いられる場合は、得られた膜は、高いウェットエッチング速度及び典型的に不均一性ウェットエッチング速度のために損害を受ける。堆積温度が下げられた際のALD形成SiN膜のウェットエッチング速度(WER)の増加が、図1Aに示されるデータで例示される。基板表面形状の側壁及び上部領域上のSiN膜に対応するデータが示され、これら両方とも、温度が下げられた際、WERにおおよそ同じ好ましくない増加が示される。440℃のALDプロセスで形成したSiN膜を有している基板表面形状のウェットエッチングの前後の透過電子顕微鏡(TEM)画像が、図1Bに示される。この画像は、440℃の処理温度で形成されるSiN膜が実質的にエッチング除去されていることを表す。対照的に、520℃というより高い堆積温度での、耐エッチング性が、図1CにTEM画像で例示され、より高い処理温度で形成されるSiN膜を示し、ウェットエッチングの前後で、ほぼ同じに見える。
ある状況では、ALD形成SiN膜中に低いWERを達成するために、高い処理温度以外の技術を用いてもよい。例えば、ある場合、低いWERは、ドーピングやアニール手順を用いて達成されてもよい。しかしながら、ドーピングは、基板をプラズマ酸化させる共通プロセスフローと一般に互換性を持たず、酸化プラズマは、ドーパントを除去する及び/又は改質する傾向がある。同様に、アニールは、現在の及び次世代のアーキテクチャーの製造に関係した前記のサーマルバジェット限定があるために、典型的には許容可能でない。
従って、代替的な低温ALDプロセスが、耐エッチング性共形SiN膜を製造するために求められてきた。このプロセスには、塩基性ALDプロセスシーケンスにおける1つ以上の改変が、典型的には関与する。
材料の膜を形成するために典型的にALD技術を用いる半導体製造ステップは、ALDの複数の順次サイクルを用いる。ALDの単一のサイクルは、材料の薄い膜(しばしば1つの分子層だけ厚く)を堆積するのみである。相当程度の所望の厚さの膜をビルドアップするために、複数のALDサイクルを実行することができる。それゆえに、順次反復「ALDサイクル」の概念が、存在する。
ベースラインALDサイクル
手短に言えば、基板の上でSiN膜の単一の層を形成するための基本的な「ALDサイクル」は、以下のステップを含んでもよい:(i)膜前駆体ドーズ/吸着、(ii)非吸着前駆体のポストドーズ除去、(iii)吸着した前駆体のプラズマ活性化反応/変換、及び任意に、(iv)脱着前駆体及び/又は反応副産物のポスト反応除去。したがって、操作(i)〜(iii)、−ある実施形態では(iv)も−、は基板上に膜の追加の層を堆積することを1回以上その後繰り返して、それによって要望通り相当程度の厚さの膜をビルドアップする、ALDの単一のサイクルを構成する。
さらに詳細に、SiN堆積のためのそのような基本的なALDプロセスシーケンスは、図2のフローチャートにより、概略的に例示される。図で示すように、単一のALDサイクルは、膜前駆体が基板上に吸着制限層を形成するよう、処理チャンバ内で半導体基板上に膜前駆体を吸着させる操作211で開始することができる。SiN膜の堆積のために、膜前駆体は、典型的にはSiを含み、したがって成長中のSiN膜のためのSiソースとして作用する。吸収/ドーズ操作の後に、吸着した膜前駆体を包囲する体積から、非吸着膜前駆体を少なくとも一部除去する操作212が続く。その後操作213では、吸着した膜前駆体を、N含有イオン及び/又はラジカルを含んでいるプラズマに曝露して、反応させる。その後これにより、基板上にSiN膜層が形成される。そして、ある実施形態では(図2の中に破線で引いたボックスによって示されるように)、及び膜形成反応の化学に応じて、操作213の後に、少なくとも一部のN含有イオン、N含有ラジカル、脱着膜前駆体、及び/又は反応副産物を、SiN膜層を包囲する体積から除去する操作214が続いてもよい。
操作211〜214の前記のシーケンスは、SiN膜の単一層を形成するための単一のALDサイクルを示す。しかしながら、ALDにより形成される膜の単一の層が典型的に非常に薄い(しばしばそれは分子1つ分のみの厚みである)ため、相当程度の厚さのSiN膜をビルドアップするために、複数のALDサイクルをシーケンス中に反復させる。したがって、再び図2を参照し、例えばN層の膜(又は、N層の膜と同等に)が堆積されることが望ましい場合、その後複数のALDサイクル(操作211〜214)は、シーケンスの中に繰り返され、そして、各ALDサイクルが操作214で終結した後、操作220では、ALDの窒素循環が実行されたか否かが決定される。その後、N回のサイクルが実行されたならば、膜形成操作は終結し、もしそうでなければ、プロセスシーケンスは、ALDの他のサイクル開始するために、操作211に戻る。このようにして、所望の厚さの共形膜を堆積してもよい。
今記載したALDサイクルのステップ(i)すなわち、膜前駆体ドーズ/吸着の間、シリコン含有膜前駆体を、約1〜5sL/m(1分当たり標準リットル)の流量で又は、特に約3〜5sL/m、又は、さらに特別に、約4〜5sL/m、又は約4.5sL/mの流量で、反応チャンバに流してもよい。これらの値は、直径300mmのウエハをハンドリングするように設計された4ステーション型反応チャンバに対応する。流量は、反応チャンバに対し、ステーションの数の増減、又は、ウエハ直径の増減に比例して調整される。もちろん、ステーション数及びウエハサイズが固定されている場合でも、反応チャンバの体積も、流量の選択に影響する。したがって、実施形態に応じて、前駆体のチャンバ内分圧が約1〜50トールとなるよう、又は特に約10〜20トール、又はある実施形態では約8〜12トール、又は約10トールとなるよう、シリコン含有膜前駆体を反応チャンバに流してもよい。流動の持続時間は、約1〜15秒間であってもよく、又は、特に約1〜5秒間、さらに特別には、約2〜3秒間、又は約2.5秒間であってもよい。
実施形態に応じ、ステップ(i)の間に基板上に吸着される膜前駆体は、シリコンを含むことに加えて、1つ以上のハロゲン又は2以上のハロゲンを含んでもよい(下記のハロゲノシランの記載を参照)。後者の例は、二塩化シラン、ヘキサクロロジシラン、テトラクロロシランを含む。ある実施形態では、ステップ(i)の間に吸着されるシリコン含有膜前駆体は、アミノシランから選択されてもよい。
今記載したALDサイクルのステップ(ii)すなわち、非吸着前駆体のポストドーズ除去の間、パージは、不活性パージガス(例えばN2又はAr)を用いてもよく、これは、約10〜40sL/mの流量で、1〜10秒の間、又は、特に約1〜3秒間、又は約2秒間、反応チャンバに流される。再び、これらの値は、直径300mmのウエハをハンドリングするように設計された4ステーション型反応チャンバに対応する。再び、流量は、反応チャンバに対し、ステーションの数の増減、又は、ウエハ直径の増減に比例して調整される。ある実施形態では、このパージの後に、ベースまでのポンピング(PTB)、すなわち、チャンバをベース圧まで、典型的には達成可能な低さまで、ポンピングすることが続いてもよい。PTBは、1つ以上の真空ポンプに反応チャンバを直接曝露することによって達成してもよい。ある実施形態では、ベース圧は、典型的には2、3のミリトールのみでよい(例えば、約1〜20mTorr)。
今記載したALDサイクルのステップ(iii)、すなわち、吸着した前駆体のプラズマ活性化反応/変換の間、N含有イオン及び/又はラジカルを含むプラズマが、発生し、このプラズマに、吸着したシリコン含有膜前駆体が曝露された結果、表面反応が生じて、SiN膜の層が形成される。プラズマは、RF電磁放射線(EM)を、窒素含有プラズマ前駆体に印加することによって形成され、この窒素含有プラズマ前駆体は、アンモニア(NH3)、分子窒素ガス(N2)、tブチルアミン等のアミン又は前述の組合せであってもよい。
しかしながら、ある実施形態では、N含有プラズマを発生させる前に、N含有プラズマ前駆体(例えばNH3)のプレフローが、約0.5〜10秒間、又は、さらに特別には約4〜8秒間、又は約6秒間確立される。流量は、約1〜10sL/m、又は、さらに特別には約4〜6sL/m、又は約3sL/mであってもよいが、再び、これらの値は、直径300mmのウエハをハンドリングするため4つのステーションを有する反応チャンバに対応し、そのため、実施形態によっては、約1.5〜6トール、又は、さらに特別には約1.5〜3トール、又は約2トールの、プラズマ前駆体の分圧を、確立するような方法で、N含有プラズマ前駆体を反応チャンバに流してもよい。
またステップ(iii)を参照し、プレフローの後、RF電力のスイッチをオンにして、N含有イオン及び/又はラジカルを有するプラズマを生成する。プラズマ生成の間のプラズマ前駆体のための存立可能なフロー及び分圧は、今プレフローに関して記載したそれらと同じとしてもよい。プラズマを発生させるためのRF電力は、約100〜6000Wであってもよく、又は、さらに特別には約400〜5100W、又は、その上さらに特別には約900〜4100W、又は、なおその上さらに特別には約2500〜3500W又は約3000Wであってもよく、周波数は13.56MHzである(実施形態により、13.56MHzの正の整数倍、27.12MHz、40.68MHz又は54.24MHz等で用いられてもよいが、約13.56MHzで調整した別の周波数又はその倍数が、下記の詳細に記載されているように、用いられてもよい)。RF電力を、約0.1〜6秒間、スイッチオンのまま保持することにより、これに対応して約0.1〜6秒間の、吸着シリコン含有膜前駆体のプラズマのN含有イオン及び/又はラジカルへの曝露の時間が得られてもよく、これにより、表面反応を引き起こし、SiN膜を形成するさらに特別には、RF電力は約0.5〜3秒間、又は、約0.5〜2秒間、又は、約1〜2秒間、スイッチオンにされ(そして吸収した膜前駆体がプラズマに曝露され)てもよい。再び、これらのプラズマ電力は、300mmの直径ウエハを取り扱うために4つのプロセスステーションを有するチャンバに対応することを理解すべきである。このように、ステップ(iii)のための適切なプラズマ電力密度は、約0.035〜2.2W/cm2であってもよく(以後、0.035〜100/(4xπx152)、及び2.2〜6000/(4xπx152))、及び上記のように、他のプラズマ電力数値及び範囲と同様である。
ある実施形態では、プラズマ曝露時間とプラズマ電力の間には、トレードオフの関係があることが見出されすなわち、短期の曝露時間でも高いプラズマ電力で適切に作用し、長い曝露時間でも低いプラズマ電力で適切に作用し、中間の曝露時間では、中間のプラズマ電力で適切に作用する。
ALDサイクルの任意のステップ(iv)に関し、今記載された脱着前駆体のポスト反応物の除去及び/又は反応副産物の除去は、約10〜40sL/mの流量で1〜10秒間、又は、さらに特別には約1〜3秒間、又は約2秒間、不活性パージガス(例えば、Ar又はN2)でチャンバをパージすることによって完了してもよい。再び、流量は、300mmの直径ウエハを取り扱うための4つのステーションを有するチャンバに対応し、ウエハの直径の増減と取り扱い数の増減に応じて、チャンバの大小が比例して調整される。圧力に関して、パージの間のチャンバの中の圧力は、約2〜10トールであってもよく、又は、さらに特別には約4〜8トール、又は約6トールであってもよい。除去ステップ(ii)と同様に、ある実施形態では、除去を容易にするために、PTBがステップ(iv)の間に用いられてもよい。
したがって、操作(ii)及び(iv)での除去は、一般に、基板を包囲している体積を、ベース圧までポンピング等して(「ベースまでのポンピング」)、パージを通して排気することにより、なされてもよい。ある実施形態では、これらのパージは、ここでは、「一次的パージ」又は「バーストパージ」及び「二次的パージ」と呼ぶものへ論理的に分割されてもよい(一次的/バースト及び二次的パージの使用は、2014年7月30日に出願の米国特許出願第14/447,203号、発明の名称「二次的パージ可能なALDシステムにおけるシャワーヘッド後部寄生プラズマ抑制のための方法及び装置」”METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM,”で詳細に記載され、これは全ての目的のために、その全体が参照事項としてここに包含される)。
改変ALDサイクル
上記に示されるように、基本的なALDサイクルシーケンスへの様々な改変は、ALD生成SiN膜の耐エッチング性を増大する試みに求められる。1つの技術では、プラズマ活性化反応/変換ステップ(上のステップ(iii))において、パルス状のプラズマモード(パルス状のRF電界を用いてプラズマを支持する)を用いて、堆積されたSiN膜の高密度化を実現し、そのウェットエッチング速度を下げる。(高密度化及びどのようにウェットエッチング速度に関連するかは、下記に詳細に記載されるが、要するに、密度の高いSiN膜は耐エッチング性が高い傾向がある。)このパルス状のプラズマモードは、2013年12月30日に出願の米国特許出願番号第14/144,107号(米国特許公開第2014/0113457号)、発明の名称「パルス状のプラズマ曝露を伴うプラズマ励起原子層堆積」”Plasma enhanced atomic layer deposition with pulsed plasma exposure,”に詳細に記載され、これは全ての目的のために、その全体が参照事項としてここに包含される。
他の技術としては、アルゴンプラズマ処理ステップが、ALDサイクルの、ポストドーズ除去ステップ(上のステップ(ii))の後プラズマ活性化反応のステップ(ステップ(iii))の前に挿入されてもよい。Arプラズマ処理は、チャンバへArを流すことと、RF電界を印加してArプラズマを生成することと、Arプラズマ曝露に引き続いて、プロセスチャンバからArガスを除去すること、とを含む。再び、これはプラズマ活性化反応/変換ステップ(iii)への前に終結される(上記に詳述されるように、それは異なるプラズマを含む)。ALDサイクルのこの状態で挿入されれば、Arプラズマ処理は、Si−Si結合を促進ししたがって、SiN膜の密度を高める。
さらに別の技術では、ALDに基づくが前駆体吸着/ドーズステップ(上記のステップ(i))の最終段階でCVD(化学気相堆積法)相も用いる、ハイブリッドアプローチ(ALDに基づく)を用いて、膜の密度を高めてもよい。これは、非吸着気相式前駆体が、次のステップ(上のステップ(iii))において、処理チャンバから取り除かれる前に、ドーズされた膜前駆体の気相に、短期の持続時間、RF放射線を印加することによって実行されてもよい。(典型的なALDでは、変換反応がプラズマで活性化される場合、吸着した膜前駆体のみが処理チャンバに残留する)。また、これにより、気相式CVD反応を通して膜にSi取込みを促進することによって、堆積されたSiN膜を高密度化させる。
最後に、堆積されたままのSiN膜の密度を高めるように設計された第4の技術では、ヘリウムプラズマ処理を用い、これは、以下に詳細に説明するように、追加のステップ(v)として加えられ、これは、反応性変換ステップ(iii)及び任意の除去ステップ(iv)の後、SiN膜の堆積された層の密度を高める。ALDサイクルの間の追加のステップ(v)として周期的なHeプラズマ処理を含むこの第4の技術は、−後で更に詳しく記載される正しいプロセス条件の下で−ブランクのSiN膜のウェットエッチング速度を下げ、重要なことに、パターニングされた基板表面形状の全ての側のウェットエッチング速度を低くすることが、見出された。更に、この改変ALD技術は、以前に可能であると考えられるよりも低い堆積温度で、これらの実質的に均一なウェットエッチング速度(表面形状の最上部及び底部対側壁)を達成する能力を有することが、見出された。
特定の理論に限定されることなく、プラズマ内の励起状態ヘリウムによって放出される真空紫外(VUV)放射は、この改変ALDサイクルのHeプラズマ処理ステップのウェットエッチング速度(WER)低減効果の原因となると考えられる。WERは、堆積されたSiN膜中の水素の密度と、密接に結びつくことが、実験によって示され、この水素は、典型的には、SiN膜のための窒素のソースとして用いられる最初の反応種からの残ったNH結合によって存在する。Heプラズマから放出されるVUV放射は、これらのNH結合を切断し、NSi結合がそれらを置き換えることで、SiN膜の密度を高める、かつ、耐エッチング性をより高くすると考えられる。さらに、そしてまた特定の理論に限定されることなく、励起状態He種の寿命が比較的長いために、それらのVUV放出(及びそれらの基底状態への減衰)の前に、高アスペクト比3D構造に拡散するための十分な時間を、これらの種に提供すると考えられ、したがって、高アスペクト比表面形状の全表面上表面形状の側壁及び上部領域を含む−に、実質的に一様に、NSi結合をNH結合に変換すること(及び膜高密度化)を誘導する。
再び現在の改変ALDサイクルの詳細を参照し、ALDサイクルを完了するために、Heプラズマでの処理が関与する前記の高密度化ステップ(v)の後は、高密度化されたSiN膜層を包囲する体積からHeガスを除去するステップ(vi)が、任意に続いてもよいことに留意すべきである。
図3は、この改変ALD技術の例を詳細に提示する。図3に示すように、Heプラズマ処理による高密度化を含むこの改変ALDプロセスシーケンス300が、図2に示される基本的なALDプロセスシーケンス200と類似のシーケンスにより、開始される(すなわち、操作311、312、313及び314は、それぞれ、図2に示される基本的なプロセス200からの操作211、212、213及び214に対応する)。しかしながら、操作214/314(任意の操作214/314が用いられると仮定すれば)の後、プロセスは分岐する。すなわち、図3に示される改変ALDプロセスはこの時点で、Heを含むプラズマにそれを曝露することによりSiN膜層の密度を高める操作315を用いる。この特定の実施形態で、プラズマ曝露は、0.5〜15秒の持続時間を有する。さらに、この特定の実施形態では、図に示すように、Heプラズマは、基板表面に対して約0.035〜2.2W/cm2の電力密度を有している。プラズマ曝露時間/持続時間及びプラズマ電力密度の他の範囲は、後で更に詳しく記載される。高密度化操作315の後、SiN膜層を包囲している体積から少なくとも一部のHeを取り除く操作316が実行されてもよい(再び、任意に、図2の破線で示されたボックスで示されるように、)。したがって、操作311〜316は、この改変スキームで単一のALDサイクルを構成し、そして、複数のALDサイクルを用いて、追加のSiN層を堆積して相当の厚さの膜をビルドアップしてもよい。したがって、図2と同様に、例えばN個のSiN層の膜(又は、同等なものとして、N層SiN膜とも呼び得る)が堆積されることが望まれる場合、複数のALDサイクル(操作311〜316)は、順番に繰り返され、そして、各ALDサイクルの後、操作320は操作316で終結すし、ALDのサイクルがN回実行されたかどうかが決定される。その後、N回のサイクルが実行されたならば、SiN膜形成操作は終結するが、もしそうでなければ、プロセスシーケンスはALDの他のサイクルを開始するため、操作311に戻る。
ちょうど記載されるALDサイクルのステップ(v)(また図3の操作315ともいう)−すなわち、Heを含むプラズマに曝露することにより、堆積SiN膜層の密度を高める−の間、He含有プラズマを発生させ、これに、堆積SiN膜層は曝露され、SiN膜の堆積層が高密度化される。プラズマは、RF電磁(EM)放射をHeガス(又は他のガス(例えば不活性ガス)を混合したHe)に印加することによって生成する。
しかしながら、ある実施形態では、Heプラズマを発生させる前に、Heガス(又は他のガス(例えば不活性ガス)を混合したHe)のプレフローが、約0.5〜15秒間、又は、さらに特別には約2〜8秒間、又は、約5秒間、確立される。流量は、約1〜10sL/m、又は、さらに特別には約4〜6sL/m、又は約5sL/mであってもよいが、再び、これらの値は、直径300mmのウエハをハンドリングするため4つのステーションを有する反応チャンバに対応し、そのため、実施形態によっては、約2〜6トール、又は、さらに特別には約3〜5トール、又は約4トールの、Heの分圧を処理チャンバ内に確立するような方法で、Heガスを反応チャンバに流してもよい。
ステップ(v)をなお参照し、Heプレフローの後、RF電力をスイッチオンにして、SiN膜の層の密度を高めるためにHeプラズマを生成する。プラズマ生成中のHeガスのための存立できるフロー及び分圧は、プレフローのために記載したそれらと、ちょうど同じとしてもよい。プラズマを発生させるためのRF電力は、約100〜6000Wであってもよく、又は、さらに特別には約400〜5100W、又は、その上さらに特別には約900〜4100Wであってもよく、周波数は13.56MHzである(実施形態により、13.56MHzの正の整数倍、27.12MHz、40.68MHz又は54.24MHz等で用いられてもよいが、約13.56MHzで調整した別の周波数又はその倍数が、下記の詳細に記載されているように、用いられてもよい)。RF電力を、約0.5〜15秒間、スイッチオンのまま保持することにより、堆積SiN膜層への、それの密度を高めるための曝露の時間が得られてもよい。再び、これらのプラズマ電力は、300mmの直径ウエハを取り扱うために4つのプロセスステーションを有するチャンバに対応することを理解すべきである。このように、ステップ(v)のための適切なプラズマ電力密度は、約0.035〜2.2W/cm2であってもよく(以後、0.035〜100/(4xπx152)、及び2.2〜6000/(4xπx152))、及び上記のように、他のプラズマ電力数値及び範囲と同様である。
反応変換ステップ(iii)と同様に、ある実施形態では、プラズマ曝露時間とプラズマ電力の間には、トレードオフの関係があることが見出されすなわち、短期の曝露時間でも高いプラズマ電力で適切に作用し、長い曝露時間でも低いプラズマ電力で適切に作用し、中間の曝露時間では、中間のプラズマ電力で適切に作用する。例えば、低プラズマ電力の実施形態では、ステップ(v)でSiN層が曝露されるHeを含むプラズマは、約0.070〜0.28W/cm2の電力密度を有してもよく、また、高密度化のための前記プラズマへの堆積SiN膜層のプラズマ曝露時間は、約4〜8秒間であってもよい(注意:0.070〜200/(4xπx152)、及び0.28〜800/(4xπx152)、このため、これは200〜800wの比較的低ワット型の範囲である)。同様に、一部の高プラズマ電力の実施形態において、ステップ(v)でSiN層が曝露されるHeを含むプラズマは、約0.88〜1.2W/cm2の電力密度を有してもよく、また、高密度化のための前記プラズマへの堆積SiN膜層のプラズマ曝露時間は、約0.5〜2秒間であってもよい(注意:0.088〜2500/(4xπx152)、及び1.2〜3500/(4xπx152)、このため、これは2500〜3500Wの比較的低ワット型の範囲である)。最後に、中間のプラズマ電力の実施形態では、ステップ(v)でSiN層が曝露されるHeを含むプラズマは、約0.28〜0.88W/cm2の電力密度を有してもよく、また、高密度化のための前記プラズマへの堆積SiN膜層のプラズマ曝露時間は、約2〜4秒間であってもよい(注意:0.28〜800/(4xπx152)、及び0.88〜2500/(4xπx152)、このため、これは800〜2500Wの比較的低ワット型の範囲である)。
ある実施形態では、反応性変換ステップ(iii)で用いられる電力密度と比較して同じ又は低プラズマ電力密度を、高密度化ステップ(v)のHeプラズマのために使用すれば、適切に作用し、膜のウェットエッチング速度(WER)が低くなることが見出された。(下記の実施例を参照。)したがって、ある実施形態では、ステップ(v)のHeプラズマの電力密度の、ステップ(iii)のN含有イオン及び/又はラジカルを含むプラズマの電力密度との比は、1未満であってもよく、又は、さらに特別には約0.1〜0.9、又は、その上さらに特別には約0.1〜0.5、又は、なおその上さらに特別には約0.1〜0.3であってもよい。例えば、下記に議論される図4C及び4Dを参照。同様に、ある実施形態では、ステップ(v)で、ステップ(iii)と同じ又はより長いプラズマ曝露時間を使用すれば、低いWERでSiN膜を発生させるために適切に作用することも見出された。したがって、ある実施形態では、ステップ(v)のプラズマ曝露時間のステップ(iii)のプラズマ曝露時間に対する比は、1よりも大きくてもよく、又は、さらに特別には約1〜10、又は、その上さらに特別には約2〜8、又は、なおさらに特別には約5〜7であってもよい。再び、例えば、下記に議論される図4C及び4Dを参照。さらに、ある実施形態では、ステップ(iii)でN含有イオン及び/又はラジカルを含むプラズマへの基板曝露時間は、2秒未満でもよく、又は、さらに特別には約1秒未満であってもよい。
今記載したALDサイクルの任意のステップ(vi)−高密度化SiN膜層を包囲する体積からのHeガス除去−に関しては、除去は、不活性パージガス(例えば、Ar又はN2)を用いて、約10〜40sL/mの流量で、1〜10秒間、又は、さらに特別には約1〜3秒間、又は約2秒間、チャンバをパージすることにより、再び達成されてもよい。再び、流量は、300mmの直径ウエハを取り扱うための4つのステーションを有するチャンバに対応し、ウエハの直径の増減と取り扱い数の増減に応じて、チャンバの大小が比例して調整される。圧力に関して、パージの間のチャンバの中の圧力は、約5〜15トールであってもよく、又は、さらに特別には約8〜12トール、又は約10トールであってもよい。除去ステップ(ii)及び(iv)と同様に、ある実施形態では、PTBは、除去を容易にするために、ステップ(vi)の間に用いられてもよい。
図3に提示される操作のシーケンス(Heプラズマ処理を取り入れた改変ALDプロセスを用いる)を用いて堆積されるSiN膜は、低温で形成される場合でも、良好なウェットな耐エッチング性を示すことが、見出された。例えば、ある実施形態では、処理チャンバ内の温度を、約550℃又はそれ以下、又は約525℃又はそれ以下、又は約500℃又はそれ以下、又は約485℃又はそれ以下、又は約475℃又はそれ以下に保持(例えば、操作311〜316の間)しつつ、前記のプロセスを用いて、低いウェットエッチング速度を有するSiN膜を形成してもよい。これらのプロセスにおいて前述の低い温度レジームで堆積されるSiN膜は、23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、約100オングストローム/分以下、又は、約75オングストローム/分以下、又は、約50オングストローム/分以下、又は、約25オングストローム/分以下のウェットエッチング速度を示してもよい。
更に、高アスペクト比表面形状を有する半導体基板上へSiN膜を堆積するためにこれらの技術が用いられる場合、ウェットエッチング速度の低下は、SiN堆積のために選択される特定のプロセス条件により、この表面形状の上部領域上及び側壁上に保持されることが、観測される。再び、実施形態により、23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、約100オングストローム/分以下、又は、約75オングストローム/分以下、又は、約50オングストローム/分以下、又は、約25オングストローム/分以下の、実質的に均一なウェットエッチング速度(上部領域、底部領域及び側壁を考慮する)が、観測されてもよい。この耐ウェットエッチング性を有するSiNの低温堆積は、プロセスパラメータの様々な選択のために、以下の実施例で例示される。
実施例
図4A及び4Bは、様々なALD型膜形成技術を用いて堆積される実施例SiN膜の第1のセットの結果を示す。具体的に、図4Aでは、異なるALDプロセスを用いて堆積した実施例SiN膜ごとに、半導体基板上の高アスペクト比表面形状の最上部及び側壁にそれぞれ対応して、ウェットエッチング速度(WER)をプロットし、図では、(1)〜(7)でラベリングした。23℃及び760トールでモル比100:1のHF溶液に曝露された後、各領域(最上部及び側壁)上でエッチング除去されるSiN膜の量を測定することにより、WERは決定される。溶液又は基板の撹拌は、曝露中に用いなかった(すなわち、基板に対してHF溶液は実質的に静止し/不動であった)(一部の撹拌メカニズムは、WERをわずかに強化する傾向が明らかである)。エッチング除去されたSiN膜の量は、透過電顕観察(TEM)によって測定され、堆積されたままの及びエッチング後の高アスペクト比表面形状のTEM画像が、プロセス(1)及び(3)〜(7)に対して、図4Bに示される。
図4Aの一番左から始まり、プロセス(1)は、ベースラインALDプロセスであり(図では「Bsln」とラベル)、指定された半導体表面形状の最上部及び側壁上に、非常に高いWERを有するSiN膜の堆積をもたらす。図の中で示されるように、表面形状の側壁の上のWERは、163オングストローム/分よりも大きく、同様に表面形状最上部では、WERは、161オングストローム/分を超えることが確認される。SiN膜は、堆積されたままの膜に対して表面形状からエッチング除去されたということが、同様に図4Bに、プロセス(1)下で顕著に示される。このベースラインALDプロセスは、475℃の実質的に一定なペデスタルタイプウエハホルダー温度で、反応変換ステップ(上記に記載されるステップ(iii)及び図2の操作213)の間、6000Wに設定されたプラズマ電力ソースを用いて、実行され−4つの処理装置が用いられた(下記の図6の機構を参照)ため、基板1枚へはプラズマ電力は1500Wであり、300mmのウエハに対しては、約2.12W/cm2のプラズマ電力密度であった。プラズマ印加は、(図4A及び4Bに示されるように)2秒間継続され、(図でも示されるように、NH3プラズマを用いて)プラズマ励起反応変換ステップの間、SiN膜の生成を行った。475℃の比較的低いペデスタル温度が用いられているが、WERは、容認できないほど高いことに、留意すべきである。
図4A及び4Bのプロセス(2)は、ペデスタル温度が、ベースラインプロセスで475℃からプロセス(2)の525℃へと上昇された点を除いて、本質的にはベースラインプロセス(1)と同じである。表面形状の最上部上及び側壁上の両方で得られたSiN膜のWERが、実質的に改良されたことが、図から認められる。550℃といったさらに高いペデスタル温度及び基板当たり3000W/4=750Wの低いプラズマ電力のプロセス(3)を用いて堆積されるSiN膜について、更なる改善が認められ、そして、さらに高い温度を585℃で、基板当たりプラズマ電力がまた750Wで堆積が実行されるプロセス(4)を用いて堆積されたSiN膜では、なお更なる改善が認められた(しかし、この特定の実験では、3つのプロセスステーションだけは有効であり、全プラズマ電力は、図4A及び4Bで示すように、3x750W=2250Wであった)(これらの4つの場合の各々で、RF電力が変換ステップに印加された時間間隔は、同じ−図示される2秒、であった。)。したがって、ALDにより形成されるSiN膜に関して、図4A及び4Bに提示されるデータは、ALDプロセスが遂行される温度を上げる(図4Aの下向き矢印によって示されるように)ことにより、WERが、高アスペクト比半導体表面形状の上部領域及び側壁上で減少し得ることを、例示する。
しかしながら、上記の理由のために、プロセス温度を上げることは、SiN膜形成技術で望ましくなく、場合によっては、製造ステップの所定のセットに割り当てられたサーマルバジェットを上回ることもある。従って、−どのプロセス及び/又はプロセスパラメータのセットがSiN膜を低温で低いWERで生成し得るかを決定する目的で、各ALDサイクルの一部として様々なヘリウム(He)プラズマ処理を用いた様々なALDプロセスを用いて、SiN膜が、475℃一定の低ペデスタル温度で形成された。堆積プロセスでヘリウムを用いて形成されるこれらのSiN膜に対応した結果が、プロセス(5)、(6)及び(7)としてラベリングされて、図4A及び4Bに示される。
ヘリウム(He)(プロセス(5))を用いた第1のプロセスでは、ALDプロセスの反応/変換ステップ(iii)の間、Heを用いた。この場合用いられる基板当たりのプラズマ電力は、3000W/4=750Wであった。プラズマ励起反応/変換ステップ(iii)の間のHeの使用により、表面形状の上部領域上ではWERが低減されるものの、表面形状の側壁の上では、WERは96オングストローム/分のままであり、同じ所望の効果を有しないことが、図4Aから認められる。プロセス(6)に対し、ほぼ反対の結果が図4Aで示され、指示されたプロセス条件を用いて堆積したSiN膜は、側壁上では低いWERを達成したものの、表面形状の上部領域上では、WERは94オングストローム/分と、不必要に高かった。図4A及び4Bに示されるように、この正反対の結果を生むために用いられたプロセスは、各ALDサイクルの追加のステップ(v)として、Heプラズマでの処理を用いた改変ALDプロセスを利用したものである。それにもかかわらず、WERの所望の均一な低下が達成されないことが、この特定の実施例で認められる。
しかしながら、図4Aの最終的な実施例、プロセス(7)、は、プロセス(6)と類似の改変ALDサイクル(すなわち、各ALDサイクルの付加ステップ(v)として、Heプラズマ処理を用いるを)用い、そして、WERで前記の所望の均一な低下を達成することができる。具体的に、堆積SiN膜では、側壁WERは29オングストローム/分を示し、上部領域WERは36オングストローム/分を示す。したがって、このプロセス(7)では、側壁及び上部領域に対応したWERを、475℃の同じ低ペデスタル温度のベースラインプロセス(1)と比較して、約5倍均一に低く達成することできる。図4Bでプロセス(2)、(5)、(6)、(7)に対応するSEM画像は、上述の状態で、HF溶液に30秒の曝露の後測定された一方で、プロセス(1)及び(4)に対応するSEM画像は、HFに60秒の曝露(図示されるように)の後測定され、したがってプロセス(1)及び(4)に対応するWERは、他の「倍」と考えられる点に留意すべきである。前記の実施例の3つ全てにおいて、同じ750Wの基板当たりプラズマ電力が用いられたが、一番右の最後の実施例では、図示されるように、変換ステップ(iii)及び追加のHeプラズマ処理ステップ(v)の両方で、プラズマ曝露時間は1秒まで短縮された点に、留意すべきである。特定の理論に限定されることはないが、変換ステップの間のプラズマ曝露が短時間になるほど、SiNの堆積層は、ステップ(v)の後のHeプラズマ処理による高密度化の作用を受けやすいと考えられる。具体的に、そして再び特定の理論に限定されることはないが、2秒間3000WのHeプラズマは、あまりに強力であり、表面形状の最上部に衝撃を与え、最上部でWERが顕著に高くなると考えられる。他方、He及びNH3の同時フロー処理は、上部領域上に適切に作用するが、側壁領域でWERを適切に低減するには、十分に有効ではない。しかしながら、1秒間の3000WのHeプラズマ処理は、上部領域上に対して十分「穏やか」である一方で、側壁上ではなお十分に有効であり、表面形状の上部領域及び側壁上の両方で、比較的均一なWERを示すことが認められる。
更なる結果が、図4C及び4Dに示される。図4Cは、漸次上昇する4つの基板温度でベースラインALDプロセスに対応する側壁WERをプロットし、上記のように温度を上昇することで側壁WERの低下が示される。(上記のように、同じHFウェットエッチング条件、すなわち、100:1のモル比HF溶液、23℃及び760トールで撹拌なし、が用いられた。しかしながら、図4C及び4Dの中の指示温度は、実際の基板/ウエハ温度であり、図4A及び4Bに関して上記に報告されるペデスタル基板ホルダ温度ではない点に、留意すべきである。例えば、約475℃ペデスタル温度は、おおよそ440℃の実際のウエハ温度に対応する。)ALDサイクルにおける追加のステップとしてHeプラズマ処理を用いた改変ALDプロセスに対応する側壁WERの結果が、ベースラインプロセスに並行してプロットされ、低い側壁WERが再び達成されることが、図中で認められる。図4Cでは、プロセスの具体的な最適化のあり及び無しでの、この改変ALDプロセスの結果の差異が認められる。最適化のあり及び無しで、これらの改変プロセスに関連した側壁WERの結果は、ベースラインプロセスより顕著に低い。例えば、495℃のウエハ基板温度で、Heプラズマ処理ステップを用いた、改変であるが非最適化されたALDプロセスでは、24オングストローム/分の側壁WER、又は、ベースラインプロセスで認められる43オングストローム/分のWERのおおよそ半分、を達成することができたことが、認められる。さらに印象的な点は、475℃のウエハ基板温度で、最適化改変ALDプロセスで観測される、ベースラインプロセスに対する性能の利益であり、ここでは、17オングストローム/分の低い側壁WERが、最適化されたプロセスで達成され、ないしは、ベースラインプロセスに対応する76オングストローム/分のWERに対して、5倍の改善が達成された。この結果に対応する透過電顕観察(TEM)画像が図4Dに表示され、そして、この最適化されたプロセスにより堆積されたSiN膜では、ウェットエッチングの前後で、ほとんど変化がないことが、観測される。図4C及び4Dに示される結果に至る、最適化されたHeを用いたALD技術のためのプロセス条件は、Heプラズマ処理持続時間が6秒であり、基板当たり125Wのプラズマ電力(4台のステーションの合計が500W)を用い、又は、基板表面積に対するプラズマ電力密度が約0.18W/cm2であると同等であるという、各点を除いて、図4A及び4Bのための上記と同じである(0.18〜500/(4xπx152)、例えば300mmのウエハ用)。対照的に、図4Cに示される非最適化された/最初のALDプロセス(Heプラズマ処理も用いる)は、以下の通りであった:2秒のHeプラズマ処理持続時間で、基板当たり750Wのプラズマ電力(4台のステーションの合計が3000W)を用い、又は、基板表面積に対するプラズマ電力密度が約1.1W/cm2であると同等である(1.1〜3000/(4xπx152)、例えば300mmのウエハ用)。
ALD技術及び操作に関する追加の詳細
上記のように、デバイスサイズが縮小し、ICは三次元トランジスタ及びその他の三次元構造を用いることへ移行するに従い、共形膜SiN又は他の誘電体の膜を正確な量(厚さ)で堆積する能力が、ますます重要になりつつある。述べられたように、原子層堆積(ALD)は、共形膜蒸着を達成するための1つの技術であり、それは、所望の膜厚を達成するための複数の堆積サイクルを、一般的に含む。
膜を堆積するために活性化気相反応を用いる化学気相堆積法(CVD)プロセスとは対照的に、ALDプロセスは、表面媒介堆積反応を用いて、各層ベースで膜を堆積する。例えば、ALDプロセスの1つの類では、第1の膜前駆体(P1)が、気相において処理チャンバで導入され、基板に曝露され、そして、基板の表面上(典型的に表面活性部位の集合体)に吸着される。P1の分子の一部は、基板表面上に、P1の化学吸着された種及び物理吸着分子を含む縮合された相を形成することができる。その後基板表面を包囲する体積を排出して、気相及び物理吸着P1を除去し、化学吸着された種だけを残す。次いで、第2の膜前駆体(P2)を処理チャンバに導入し、P2分子の一部が、基板表面に吸着する。この時、処理チャンバ内で基板を包囲する体積が、再び排出され、未結合のP2が取り除かれ得る。続いて、基板にエネルギー(例えば、熱又はプラズマエネルギー)を提供して、P1及びP2の吸着した分子の間での表面反応を活性化し、膜層を形成する。最後に、基板を包囲する体積を再び排気し、未反応のP1及び/又はP2、及び/又は存在するならば反応副産物、を除去して、ALDの単一のサイクルが終了する。
共形膜を堆積するためのALD技術は、様々な化学物質を含んでもよく、所望の反応化学ならびに堆積膜の同一性及び特性に依存して用いられ得る多くの潜在的な変更が、基本的なALDプロセスシーケンスに存在する。これらの変更の多くは、2011年4月11日に出願の米国特許出願番号第13/084399号、発明の名称「プラズマ励起共形膜蒸着」(”PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”)(Attorney Docket Number NOVLP405),2011年9月23日に出願の米国特許出願番号第13/242,084号、発明の名称、「プラズマ活性化共形誘電体膜堆積」”PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,”、後に米国特許第8,637,411号(Attorney Docket Number NOVLP427)、2011年9月1日に出願の米国特許出願番号第13/224,240号、発明の名称「プラズマ励起共形誘電体膜堆積」(Attorney Docket Number NOVLP428)及び2012年9月7日に出願の米国特許出願番号第13/607,386号、発明の名称「プラズマ励起原子層堆積及び共形膜堆積による共形ドーピング」”CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”(Attorney Docket Number NOVLP488)、に詳細に記載され、これらのそれぞれは、全ての目的のためにその全体が参照事項としてここに包含される。
これらの先願の出願に記載されているように、基板上に材料の単一の層を堆積するための基本的なALDサイクルは、以下を含んでもよい:(i)吸着制限層を形成するように、基板上に膜前駆体を吸着すること、(ii)吸着した前駆体を包囲する体積から非吸着前駆体を取り除くこと、(iii)基板上に膜の層を形成するために吸着した前駆体に反応を起こさせること、及び(iv)基板上に形成される膜の層を包囲する体積から、脱離膜前駆体及び/又は反応副産物を除去すること。操作(ii)及び(iv)の除去は、基板を包囲している体積を、パージし、排気し、ベース圧までポンピング(「ベースまでのポンピング」)する等により、なされてもよい。なお、操作(i)〜(iv)のこの基本的なALDシーケンスは、上記に記載される実施例の場合のように、2つの化学吸着した反応種P1及びP2を必ずしも含むというわけではなく、また、第2の反応種さえも必然的に含むものではないが、関係する所望の堆積化学によっては、これらの可能性/オプションは用いられてもよいことに、留意すべきである。例示されるように、多くの変更が可能である。一例として、及び上記のように、SiN膜の堆積のために、シリコン含有前駆体は典型的に化学吸着しており(P1ともいう)、SiN膜を形成するために反応する種は窒素含有種であり、これを用いて、ステップ(iii)で反応を引き起こすために用いられるプラズマが形成される。したがって、ある実施形態では、N含有イオン及び/又はラジカルを含むプラズマを用いて、堆積SiN膜内の窒素原子を提供するとともに、表面反応を活性化するためのエネルギーを提供する。他の実施形態において、シリコン含有前駆体は、第1の化学吸着種(P1)とすることができ、そして、窒素含有種は、第2の化学吸着種(P2)とすることができ、このALDサイクルのステップ(iii)で両方の化学吸着種に印加されるプラズマをその後用いて、活性化エネルギーを提供するが、必ずしも堆積SiN膜のN原子は提供しなくともよいことが、想定可能である。もちろん、先に述べたように、そのようなALDサイクルは、堆積SiNの層の密度を高めるステップ(v)を所望によりさらに含んでもよく、これは、ある実施形態では、長く残留するプラズマ種、脱離反応物、及び/又は反応物副産物等を除去するステップ(iv)が先行される。
しかしながら、ALDの吸着制限の性質のため、ALDの単一のサイクルだけでは、材料の薄い膜及び典型的に膜材質の単一の単分子層だけを堆積するだけである。例えば、膜前駆体ドーズ操作の曝露時間及び膜前駆体の(基板表面への)粘着係数によっては、各ALDサイクルは、厚さ約0.5〜3オングストロームだけ膜層を堆積し得る。したがって、一般に、典型的なALDサイクルの操作のシーケンス−今記載した操作(i)〜(iv)−を複数回繰り返して、所望の厚さの共形膜を形成する。したがって、ある実施形態では、操作(i)〜(iv)は、少なくとも1回、又は、少なくとも2回、又は、少なくとも3回、又は、少なくとも5回、又は、少なくとも7回、又は、少なくとも10回続けて、連続して繰り返される。ALD膜は、ALDサイクル当たり、約0.1オングストローム〜約2.5オングストローム、又はALDサイクル当たり、約0.2オングストローム〜約2.0オングストローム、又はALDサイクル当たり、約0.3オングストローム〜約1.8オングストローム又はALDサイクル当たり、約0.5オングストローム〜約1.5オングストローム、又はALDサイクル当たり、約0.1オングストローム〜約1.5オングストローム、又はALDサイクル当たり、約0.2オングストローム〜約1.0オングストローム、又はALDサイクル当たり、約0.3オングストローム〜約1.0オングストローム、又はALDサイクル当たり、約0.5オングストローム〜約1.0オングストローム、の速度で堆積されてもよい。
一部の膜形成化学では、補助反応物又は同時反応物を、−「膜前駆体」と呼ばれるものに加えて−、用いてもよい。特定のそのような実施形態では、補助反応物又は同時反応物は、ステップ(i)〜(iv)のサブセットの間、又は、それらが繰り返される際にステップ(i)〜(iv)の各々を通して、連続的に流されてもよい。ある実施形態では、この他の反応化学種(補助反応物、同時反応物等)を、膜前駆体によるその反応への前に、(上記に記載される前駆体P1及びP2を含む実施例の場合のように)膜前駆体と共に基板表面上に吸着させてもよいが、他の実施形態において、基板表面上への前吸着それ自体無しに、接触時に、吸着した膜前駆体と反応させてもよい。また、ある実施形態では、吸着した膜前駆体に反応を起こさせる操作(iii)は、吸着した膜前駆体をプラズマに接触させることを含んでもよく、これは、実施形態によっては、活性化エネルギーを提供することに加えて、補助反応物/同時反応物を提供してもよい。例えば、ALDによるSiN膜生成を含む上記プロセスでは、補助反応物/同時反応物は、ステップ(iii)でプラズマを形成するために用いられるN含有種とみなすことができる。
ある実施形態では、多層堆積膜は、交互組成物領域/部分を含んでもよく、これは、例えば順次1つの組成物を有している複数の層を共形に堆積し、その後、順次他の組成物を有している複数の層を共形に堆積し、その後、場合によっては、これらの2つのシーケンスを繰り返し交替させることにより、形成される。堆積ALD膜のこれらの特徴のいくつかは、例えば、2012年9月7日に出願の米国特許出願番号第13/607,386号、発明の名称「プラズマ励起原子層堆積及び共形膜堆積による共形ドーピング」”CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”(Attorney Docket Number NOVLP488)、に記載され、これは、全ての目的のためにその全体が参照事項としてここに包含される。交互組成物の部分を有する共形膜(下にあるターゲットIC構造にドーピングすることに用いられる膜又は基板領域を含む)ならびに、これらの膜を形成する方法のさらなる例が:2011年4月11日に出願の米国特許出願番号第13/084399号、発明の名称「プラズマ励起共形膜蒸着」(”PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”)(Attorney Docket Number NOVLP405),2011年9月23日に出願の米国特許出願番号第13/242,084号、発明の名称、「プラズマ活性化共形誘電体膜堆積」”PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,”、後に米国特許第8,637,411号(Attorney Docket Number NOVLP427)、2011年9月1日に出願の米国特許出願番号第13/224,240号、発明の名称「プラズマ励起共形誘電体膜堆積」(Attorney Docket Number NOVLP428)、2011年9月7日に出願の米国特許出願番号第13/607,386号、発明の名称「プラズマ励起原子層堆積及び共形膜堆積による共形ドーピング」”CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION”(Attorney Docket Number NOVLP488)、並びに、2014年2月28日に出願の米国特許出願番号第14/194,549号、発明の名称「三次元集積回路トランジスタのフィン形のチャネル領域にドーピングするためのキャップALD膜」”CAPPED ALD FILMS FOR DOPING FIN−SHAPED CHANNEL REGIONS OF 3−D IC TRANSISTORS”、に詳細に記載され、これらのそれぞれは、全ての目的のためにその全体が参照事項としてここに包含される。
上記の明細書で詳述されるように、ALDプロセスは、共形酸化ケイ素(SiOx)膜、炭化ケイ素(SiC)膜、窒化シリコン(SiN)膜、シリコン炭窒化物(SiCN)膜又はその組合せを堆積するために用いられてもよい。シリコン炭素酸化物及びシリコン炭素酸窒化物、並びに、シリコン炭素窒素化合物は、ALD形成膜の一部の種類で形成されてもよい。この種の膜を堆積する方法、技術及び操作は、2012年6月12日に出願の米国特許出願番号第13/494,836号、発明の名称「リモートプラズマベースのSiOC類膜の堆積」”REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS,”、Attorney Docket No.NOVLP466/NVLS003722、2013年5月31日に出願の米国特許出願番号第13/907,699号、発明の名称「所望の組成物及びフィルム特性のSiC類膜を得る方法」”METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES,”、Attorney Docket No.LAMRP046/3149、米国特許出願番号第14/062648号、発明の名称「シリコン炭素含有膜の化学気相堆積法のための基底状態水素ラジカル源」”GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON−CARBON−CONTAINING FILMS”、並びに、2014年2月28日に出願の米国特許出願番号第14/194,549号、発明の名称「三次元集積回路トランジスタのフィン形のチャネル領域にドーピングするためのキャップALD膜」”CAPPED ALD FILMS FOR DOPING FIN−SHAPED CHANNEL REGIONS OF 3−D IC TRANSISTORS”、に詳細に記載され、これらのそれぞれは、全ての目的のためにその全体が参照事項としてここに包含される。
複数のALDサイクルは、共形層のスタックをビルドアップするために繰り返されてもよい。ある実施形態では、各層は実質的に同じ組成物を有してもよく、他の実施形態において、ALD堆積層は、順次異なる組成物を有してもよく、又は特定の実施形態では、組成物は、層毎に交替してもよく、又は上記のように異なる組成物を有している層の繰り返すシーケンスがあってもよい。したがって、実施形態によっては、以下に列挙し上記に参照事項として包含される特許出願に開示される特定のスタック技術の概念(米国特許出願番号第13/084399号、第13/242,084号及び第13/224,240号)を用いて、これらの膜中のホウ素、リン又はヒ素濃度を調節することができる。
膜形成ALD化学
SiN膜の堆積は、様々な化合物から選択できる1つ以上のシリコン含有膜前駆体を利用してもよい。適切な前駆体は、所望の組成物特性、及び一部の場合で物理的又は電子特性、を提供するように選択及び供給される有機ケイ素反応物を含んでいてもよい。シリコン含有反応物/膜前駆体の例は、特に、シラン、アルキルシラン、シロキサン、アルコキシシラン、ハロゲノシラン及びアミノシランを含んでもよい。
シランに関して、ある実施形態ではSiN膜を形成するために用いることができる非限定的な例としては、シラン(SiH4)、ジシラン(Si26)、トリシラン及びより高次のシランを含む。
アルキルシラン−1つ以上のアルキル基及び/又は水素原子に結合される1つ以上のケイ素原子を有するシリコン含有化合物−は、一部の実施形態において、SiN膜を形成するために用いられてもよい。実施形態によっては、ケイ素原子は、4つのアルキル基、又は、3つのアルキル基及び水素、又は、2つのアルキル基及び2つの水素、又は、1つのアルキル基及び3つの水素に結合されてもよい。選択可能なアルキル基の非限定的な例としては、Me(メチル)、Et(エチル)、iPr(イソプロピル)、nPr(プロピル)及びtブチル官能基が挙げられる。膜前駆体としての使用のための適切なアルキルシランの具体的な非限定的な例としては、メチルシラン(H3SiCH3)、エチルシラン、イソプロピルシラン、tブチルシラン、ジメチルシラン(HSi(CH32)、トリメチルシラン(HSi(CH33)、テトラメチルシラン(Si(CH34)、ジエチルシラン、トリエチルシラン、ジtブチルシラン、アリルシラン、secブチルシラン、ヘキシルシラン、イソアミルシラン、tブチルジシラン及びジtブチルジシランが挙げられる。
さらに、高次シランを、モノシランの代わりに用いてもよい。ケイ素原子がケイ素原子に結合される複数のケイ素原子を有するシリコン化合物においては、各々の上での他の置換基の数は、1つ少なくなる。アルキルシラン類からそのようなジシランの例には、ヘキサメチルジシラン(HMD)が挙げられる。アルキルシラン類からジシランの他の例は、ペンタメチルジシラン(PMD)を含むことができ、これはSiC膜を形成するために使用可能である。ある実施形態では、ケイ素原子の1つは、それに結合される炭素を含有する又はアルコキシを含有する官能基だけを有することができ、ケイ素原子の1つは、それに結合される水素原子だけを有することができる。他の種類のアルキルシランは、アルキルカルボシランを含むことができる。アルキルカルボシランは、ケイ素原子に結合する炭素ならびにケイ素原子に結合したアルキル基を有する分枝ポリマー構造を有することができる。その例は、ジメチルトリメチルシリルメタン(DTMSM)及びビスジメチルシリルエタン(BDMSE)を含む。なお他の種類のアルキルシランは、シラザン及びアルキルジシラザンを含むことができる。アルキルジシラザンは、シラザン及び2つのケイ素原子に結合したアルキル基を含む。その例は、1,1,3,3−テトラメチルジシラザン(TMDSN)を含む。ある実施形態では、TMDSNはSiCN膜を形成することができる。
ハロシラン−1つ以上のハロゲン原子に結合した1つ以上のケイ素原子を有するシリコン含有化合物−は、一部の実施形態において、SiN膜を形成するために用いられてもよい。実施形態によっては、ケイ素原子は、4つのハロゲン原子、又は3つのハロゲン原子、又は2つのハロゲン原子、又は1つのハロゲン原子に結合されてもよい。ヨードシラン、ブロモシラン、クロロシラン及びフルオロシランは、膜前駆体としての使用のための適切なものとなり得る。ハロシラン(特にフルオロシラン)がシリコン材料をエッチングすることができる反応ハロゲン化物種を形成し得るが、ここに記載される特定の実施形態において、プラズマが攻撃されるときは、シリコン含有反応物は存在しない。膜前駆体としての使用に適切なクロロシランの具体的な非限定的な例としては、テトラクロロシラン(SiCl4)、三塩化シラン(HSiCI3)、二塩化シラン(H2SiCl2)、モノラルクロロシラン(CISiH3)、ヘキサクロロジシラン、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン(SiHCH3Cl2)、クロロジメチルシラン、クロロエチルシラン、tブチルクロロシラン、ジtブチルクロロシラン、クロロイソプロピルシラン、クロロ秒ブチルシラン、tブチルジメチルクロロシラン、及びエチルジメチルクロロシランが挙げられる。ヨードシラン、ブロモシラン及びフルオロシランの具体的な非限定的な例としては、上記の塩素含有化合物と分子構造的に類似で、塩素原子の代わりに、ヨウ素でも、臭素又はフッ素原子の、それぞれいずれかを有する化合物が挙げられる。例えば、トリクロロシラン(HSiCI3)に対応するブロモシランは、トリブロモシラン(HSiBr3)である。
アミノシラン−1つ以上のアミン基に結合される1つ以上のケイ素原子を有するシリコン含有化合物−は、一部の実施形態において、SiN膜を形成するために用いられてもよい。実施形態によっては、ケイ素原子は、4つのアミン基、又は3つのアミン基、又は2つのアミン基、又は1つのアミン基に結合されてもよい。例えば、中心ケイ素原子に結合される2つのアミン基及び2つの水素原子を有する特定の膜前駆体は、BTBAS(ビスtブチルアミノシラン、SiH2(NHC(CH332)である。膜前駆体としての使用のための適切なアミノシランの他の具体的な非限定的な例としては、モノ、ジ、トリ及びテトラアミノシラン(順にH3SiNH2、H2Si(NH22、HSi(NH23及びSi(NH24)が挙げられる。置換モノ、ジ、トリ及びテトラアミノシランは、適切な膜前駆体としての機能を果たしてもよく、この膜前駆体の非限定的な例としては、Me、Et、iPr、nPr及びtブチル官能基で置換されるそれらのアミン基を有する上記の化合物が挙げられる。具体的な例は、tブチルアミノシラン、メチルアミノシラン、tブチルシランアミン、n第三級ブチルトリメチルシリルアミン、tブチルシリルカルバメート、SiHCH3(N(CH322、SiH(N(CH323、SiHCl(N(CH322、Si(CH32(NH22、(Si(CH32NH)3、(NR)2Si(CH32(Rが、水素であるか、又は、Me、Et、iPr、nPr及びtブチル官能基から選択される)、及びトリシリルアミン(N(SiH33)を含む。他の具体的な例としては、ジメチルアミノ、ビスジメチルアミノメチルシラン(BDMAMS)及びトリスジメチルアミノシラン(TDMAS)(2、2−ビス(ジメチルアミノ)4、4ジメチル2、4−ジシラペンタン、2,2,4トリメチル4ジメチルアミノ3,4ジシラペンタン、ジメチルアミノジメチルシラン、ビス(ジメチルアミノ)メチルシラン及びトリス(ジメチルアミノ)シラン)が挙げられる。1,1,3,3−テトラメチルジシラザンは、シラザンの非限定的な例である。
SiN膜の堆積のために、上記に記載されるそれらのような、適切なシリコン含有反応物/膜前駆体は、窒素含有同時反応物とともに用いられてもよい。用いることができる窒素含有同時反応物の非限定的な例は、アンモニア、ヒドラジン、メチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、tブチルアミン、ジtブチルアミン、シクロプロピルアミン、s‐ブチルアミン、シクロブチルアミン、イソアミルアミン、2メチルブタン2アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジtブチルヒドラジン等のアミン類、ならびアニリン、ピリジン及びベンジルアミン等の芳香族基含有アミンを含む。アミンは第一級でも、第二級でも、第三級でも、第四級でもよい(例えば、テトラアルキルアンモニウム化合物)。窒素含有同時反応物は少なくとも1つの窒素を含むが、窒素以外のヘテロ原子を含んでいてもよい。したがって、例えばヒドロキシルアミン、tブチルオキシカルボニルアミン及びNtブチルヒドロキシルアミンが、考慮された窒素含有反応物である。ある実施形態では、N含有反応物は、N2でもよい。ある実施形態では、N含有同時反応物は、膜形成表面反応を活性化するために、イオン化又はフリーラジカルプラズマ種として用いられてもよい。N含有同時反応物ベースのプラズマを用いる特定の実施形態では、照会されたN含有同時反応物は、NH3、N2、及びアミン類、具体的にtブチルアミンを含む。
最後に、複数のALDサイクルが共形層のスタックをビルドアップするために繰り返されてもよいので、ある実施形態では、各層は、他の実施形態において実質的に同じ組成物を有してもよく、ALD堆積層は、順次異なる組成物を有してもよく、又は特定の実施形態では、組成物は、層毎に交替してもよく、又は異なる組成物を有している層の繰り返すシーケンスがあってもよいことに、留意すべきである。
基板処理装置
ここに記載される方法は、あらゆる適切な半導体基板処理装置で実行することができる。適切な装置は、プロセス操作を達成するためのハードウェア及び様々なSiN膜形成ALD方法論及びここに開示される高密度化技術に従った制御プロセス操作のために命令を有するシステムコントローラーを有している。ある実施形態では、ハードウェアは、マルチステーション基板処理ツールに含まれる1つ以上のプロセスステーション、及び、ここに開示される膜形成技術に従って制御プロセス操作のために機械可読な命令を有する(又はこれにアクセスする)コントローラを、含んでいてもよい。
したがって、ある実施形態では、半導体基板の上で耐ウェットエッチング性の高いSiN膜を堆積するために適切な装置は、処理チャンバ、処理チャンバ内の基板ホルダ、処理チャンバへガスを流すための1つ以上のガス流入口、処理チャンバからガスを除去するための真空源、処理チャンバ内にプラズマを発生させるためのプラズマ発生器、及び1つ以上のガス流入口、真空源を動作するために機械可読な命令を含む1つ以上のコントローラ、及び半導体基板上にSiN膜層を堆積するプラズマ発生器、を備えていてもよい。コントローラで実行される前記命令は、上に述べたようなALD操作(i)〜(vi)を実行することのための命令、及び、高密度化SiN膜の複数の層を形成するために、ALD操作(i)〜(vi)を複数回繰り返す命令、を含んでもよい。適切なシステムコントローラーは、さらに詳細に下記に記載される。
従って、図5は、ここに開示されるALD技術を実行する基板処理装置500の実施形態を概略的に例示する。処理装置500は、低圧の環境を保持するためのプロセスチャンバ本体502を有するように示され、これは単純さのために、独立型プロセスステーションのホストの役割を果たすように示される。しかしながら、ここに記載されるように、例えば、共通反応チャンバ内で複数のプロセスステーションが、共通プロセスツール環境に含まれてもよいことが理解されよう。例えば、図6はマルチステーション処理ツールの実施形態を示す。さらに、ある実施形態では、上記に詳細に議論されるそれらを含む処理装置500/600の1つ以上のハードウェアパラメータが、1つ以上のシステムコントローラーでプログラム的に調整されてもよいことが理解されよう。
再び図5を参照し、装置500の処理チャンバ502は、内部の体積内に単一の基板ホルダ508を有し、そしてそれは真空ポンプ518での真空下で保持されてもよい。また、(例えば)膜前駆体、キャリア及び/又はパージ及び/又はプロセスガス、2次/共反応体のデリバリーのために、ガスデリバリーシステム501及びシャワーヘッド506が、流体工学的にチャンバに結合している。処理チャンバの中でプラズマを発生させるための装置は、図5にも示され、さらに詳細に下記に説明される。いずれにしても、実際には以下に詳細に記載されるように、概略的に図5に図示される装置は、半導体基板上にALD等の膜堆積操作を実行するため、の基本的な装置を提供する。
プロセスステーション500は、プロセスガスを分配シャワーヘッド506に供給するために、反応物デリバリーシステム501と流動自在に連通する。反応物デリバリーシステム501は、シャワーヘッド506へ送出されるプロセスガスのブレンディング及/又は調整のための撹拌槽504を含む。1つ以上の混合容器入口弁520は、混合容器504へのプロセスガスの導入を制御することができる。
一部の反応物は、気化及び引き続くプロセスチャンバ502への送出の前に、液体の形態で保存されてもよい。図5の実施形態は、混合容器504に供給される液体反応物を気化させるために、気化点503を含む。ある実施形態では、気化点503は加熱された液体の注入モジュールであってもよい。ある実施形態では、気化点503は加熱された気化器でもよい。このモジュール/気化器から生成された飽和反応物蒸気は、適切な制御ではない場合(例えば液体反応物の気化/噴霧の際にヘリウムは用いられない等)は、下流送出配管で凝縮してしまう。凝縮された反応物と適合しないガスの曝露は、小粒子を生成し得る。これらの小さな粒子は配管を詰まらせ得るとともに、弁操作を妨げ基板等を汚染し得る。これらの問題に対応するアプローチには、送出配管を掃き及び/又は排気して、残留の反応物を除去することを含む。しかしながら、送出配管を掃くことにより、プロセスステーションのサイクルタイムが増大し得るので、プロセスステーションのスループットを悪化させ得る。したがって、ある実施形態では、送出配管は、気化点503の下流で熱処理されてもよい。一部の例では、混合容器504は、熱処理されてもよい。一つの非限定的な例において、気化点503の下流の配管では、混合容器504において約100℃〜約150℃の範囲の増加している温度プロファイルを有する。
言及されるように、一部の実施形態において、気化点503は加熱された液体の注入モジュール(略して「液体インジェクタ」)でもよい。この液体のインジェクターは、混合容器の上流のキャリアガス流体に、液体反応物のパルスを噴射してもよい。1つのシナリオでは、液体のインジェクターは、より高い圧力から低圧力まで液体をフラッシングさせることにより、反応物を気化させてもよい。他のシナリオでは、液体のインジェクターは、この液体を、分散したミクロ液滴に噴霧してもよく、この液滴は、続いて、加熱された送出管内で気化される。より小さな液滴は、より大型の液滴より速く気化し得るため、液体注入と完全な気化の間の遅れを低減することが理解されよう。より急速な気化のため、気化点503の下流の配管の長さを短くすることができる。1つのシナリオでは、液体のインジェクターは、混合容器504に直接取り付けられてもよい。他のシナリオでは、液体のインジェクターは、シャワーヘッド506に直接取り付けられてもよい。
ある実施形態では、気化点503の上流に、液流量コントローラ(LFC)を提供することにより、気化及び処理チャンバ502への送出のための液体の質量フローを制御することができる。例えば、LFCは、LFCの下流に位置する熱質量流量計(MFM)を含んでもよい。LFCのプランジャー弁は、MFMと電気通信する比例積分微分(PID)コントローラにより提供されるフィードバック制御信号に感応して、その後調整されてもよい。しかしながら、フィードバック制御を用いた液流量を安定させるために、1秒以上を要することがある。これは、液体反応物をドーズする時間を延ばしてしまい得る。したがって、ある実施形態では、LFCは、フィードバック制御モードとダイレクト制御モードの間で、動的に切り替えられてもよい。ある実施形態では、LFCは、LFC及びPID制御器の感応管を無効にすることにより、フィードバック制御モードからダイレクト制御モードまで動的に切り替えられてもよい。
シャワーヘッド506は、プロセスステーションで、プロセスガス及び/又は反応物(例えば膜前駆体)を基板512の方へ分配し、そのフローは、シャワーヘッドから上流の1つ以上の弁(例えば、弁520、520A、505)により制御される。図5に示される実施形態では、基板512はシャワーヘッド506の下に位置し、ペデスタル108上に裁置される。シャワーヘッドは、あらゆる適切な形状を有してもよく、そして、基板にプロセスガスを分配するためのポートは、あらゆる適切な数及び配列を有してもよいことが理解されよう。
ある実施形態では、微容量507は、シャワーヘッド506の下に位置する。処理チャンバの全体の体積内ではなく、プロセスステーション内の基板の近くの微容量内でALDプロセスを実行することにより、反応物曝露及び掃引時間を短縮し、プロセス条件(例えば、圧力、温度等)を変更するための時間を短縮し、プロセスステーションのロボット部品のプロセスガスへの曝露を制限し得る等である。微容量サイズの非限定的な例としては、は、0.1リットル〜2リットルの体積を含む。
ある実施形態では、ペデスタル508を上下させて、微容量507に基板を曝露し、及び/又は、微容量507の体積を変化させてもよい。例えば、基板移送段階で、ペデスタルを下げて、ペデスタルの上へ基板を装てんできるようにしてもよい。基板処理の段階における堆積の間、ペデスタルを上げて、微容量507内に基板を配置してもよい。ある実施形態では、前記微容量は、基板ならびにペデスタルの一部を完全に取り囲むことで、堆積プロセスの間により高いフローインピーダンスの領域を創り出すことができる。
任意に、ペデスタル508は、堆積プロセスの一部の間、上げ及び/又は下げされることにより、微容量507内でのプロセス圧力、反応物濃度等を調節することができる。処理チャンバ本体502がプロセスの間ベース圧にとどまる場合の1つのシナリオでは、ペデスタルを下げることにより、前記微容量が排気できるようにしてもよい。微容量とプロセスチャンバ体積の比の非限定的な例としては、1:500〜1:10の間の体積比が挙げられる。ある実施形態では、ペデスタルの高さは、適切なシステムコントローラーによりプログラムを用いて調整されてもよいことが理解されよう。
他のシナリオでは、ペデスタルの高さを調整することにより、例えばALD又はCVDプロセスで含まれるプラズマ活性化及び/又は処理サイクルの間に、プラズマ密度が変化できるようにしてもよい。堆積プロセス段階の終結において、他の基板移送段階の間に、ペデスタルを下げて、ペデスタルから基板の取り出しを可能にしてもよい。
ここに記載する微容量の変更の例では、高さ調節可能なペデスタルを参照しているが、ある実施形態では、シャワーヘッド506の位置が、微容量507の体積を変化するために、ペデスタル508に対して調整されてもよいことが理解されよう。さらに、ペデスタル及び/又はシャワーヘッドの垂直位置を、本開示の範囲内のあらゆる適切なメカニズムにより、変化させてもよいことが理解されよう。ある実施形態では、ペデスタルは、基板の配向を回転させるために、回転軸を含んでもよい。ある実施形態では、1つ以上のこれらの例の調整が、前記の操作のすべて又はサブセットを実行するために機械可読な命令を有する1つ以上の適切なシステムコントローラーで、プログラム的に実行されてもよいことが理解されよう。
図5に示される実施形態に戻ると、シャワーヘッド506及びペデスタル508は、処理チャンバ内で発生したプラズマにエネルギーを与えるため、RF電力供給514及びマッチングネットワーク516と電気的に連絡していてもよい。ある実施形態では、プラズマエネルギーは、(例えば、適切な機械可読な命令を有しているシステムコントローラーによって)プロセスステーション圧力、ガス濃度、RF電力レベル、RF電力の周波数及びプラズマ電力パルスタイミングの1つ以上の制御によって、制御されてもよい。例えば、RF電力供給514及びマッチングネットワーク516は、イオン及び/又はラジカル種の所望の組成物を有するプラズマを形成するため、あらゆる適切な電力で動作可能である。適切なプラズマ電力の様々な例が、−プラズマ電力発生装置で設定されるRF電力レベルならびにチャンバのプラズマエネルギー密度の観点から−上記に記載され、従って、用いられている特定の方法論に依存する。実施形態によっては、RF電力供給514は、あらゆる適切な周波数のRF電力を、実行される処理方法で提供されてもよい。ある実施形態では、RF電力供給514は、高周波(HF)RF電源及び低周波(LF)RF電源を、互いに独立して制御するよう構成されてもよい。RF電力源により発生される低周波は、実施形態により、約50kHz〜500kHzで変動してもよい。RF電力源により発生される高周波は、実施形態により、約1.8MHz〜2.45GHzで変動してもよい。プラズマエネルギーを表面反応に提供するためのあらゆる適切なパラメータは、別々に、又は、連続的に調節されてもよいことが理解されよう。ある実施形態では、プラズマ電力は、連続的にエネルギーが与えられるプラズマと比較して、基板表面でのイオン衝撃を低減するよう、断続的パルス状でもよい。
ある実施形態では、プラズマを、1つ以上のプラズマモニタにより、インシチュウでモニタしてもよい。1つのシナリオでは、プラズマ電力は、1つ以上の電圧、電流センサ(例えば、VIプローブ)によってモニタしてもよい。他のシナリオでは、プラズマ密度及び/又はプロセスガス濃度は、1つ以上の光学発光分光法(OES)センサで測定されてもよい。ある実施形態では、1つ以上のプラズマパラメータは、そのようなインシチュウプラズマ検出装置からの測定に基づき、プログラム的に調整されてもよい。例えば、プラズマ電力のプログラムに基づいた制御を提供するために、OESセンサは、フィードバックループに用いられてもよい。ある実施形態では、他のモニタが、プラズマ及び他のプロセス特性をモニタするために用いられてもよいことが理解されよう。このモニタの非限定的な例としては、赤外線(Ir)モニタ、音響モニタ及び圧力変換器が挙げられる。
ある実施形態では、プラズマは、入/出力制御(IOC)シーケンス命令を通して制御されてもよい。1つの例では、プラズマ活性化段階に対するプラズマ状態を設定する命令が、プロセスのレシピの対応するプラズマ活性化のレシピ段階に含まれてもよい。ある場合、プロセスのレシピ段階が順次準備され、処理の段階のための全てのその命令は、その処理の段階で同時に実行されてもよい。ある実施形態では、1つ以上のプラズマパラメータを設定するための命令が、プラズマ処理の段階の前にレシピ段階に含まれてもよい。例えば、第1のレシピ段階は、不活性ガス(例えば、ヘリウム)及び/又は反応ガス(例えば、NH3)の流量を設定するための命令、電力設定点にプラズマ発生器を設定するための命令、及び、第1のレシピ段階のための時間遅れ命令を含んでもよい。第2の、その後のレシピ段階では、プラズマ発生器を使用可能にするための命令及び第2のレシピ段階のための時間遅れ命令を含んでもよい。第3のレシピ段階は、プラズマ発生器を無効にするための命令及び第3のレシピ段階のための時間遅れ命令を含んでもよい。これらのレシピ段階は、本開示の範囲内であらゆる適切な方向でさらに再分割されてもよく、及び/又は、繰り返されてもよいことが理解されよう。
一部の堆積プロセスでは、プラズマは、2、3秒以上のオーダーで、衝撃を受け及び保持されてもよい。一部の堆積プロセスでは、プラズマは、非常に短期の持続時間の間に、衝撃を受け及び保持されてもよい。選択された持続時間は、発生させたプラズマの性質及び目的に関して依存する。適切なプラズマ持続時間及び基板曝露時間は、ここに開示される特定の膜堆積技術に関して、上記に示される。なお、従って、RFプラズマ持続時間が非常に短期の場合は、プラズマの安定化が非常に迅速になされる必要があり得ることに留意されたい。これを達成するため、インピーダンス整合が特定の電圧にプリセットされる一方で、周波数をフロートとするように、プラズマ発生器は構成されてもよい。
従来は、高周波プラズマは、約13.56MHzに設定されるRF周波数で発生させていたが、しかしながら一部の構成では、周波数は、この標準数値と異なる数値までフロートするようにされてもよい。予め定められた電圧にインピーダンス整合を固定しつつ、周波数のフロートを容認することにより、プラズマを更に迅速に安定化することができ、この結果は、ALDサイクルにおいて時折該当する非常に短期のプラズマ持続時間を使用する場合に、重要になり得る。
特定の実施形態では、13.56MHzの標準HF数値の倍数を用いて、さらに高い周波数プラズマを生成することができる。13.56MHzの規格値を用いる場合、HF放射を、13.56MHzの倍数の、より高い周波数で発生させることにより、正確な倍数の数値の周囲にフロートさせることが可能となる。実施形態によって用いることができる13.56MHzの倍数は、27.12MHz(=2x13.56MHz)、40.68MHz(=3x13.56MHz)、54.24MHz(=4x13.56MHz)、等を含む。13.56MHzの倍数の周りの周波数同調は、約+/−1MHzの周波数変動、又は、さらに特別には、約+/−0.5MHzの周波数変動を含んでもよい。RF周波数が高くなるにつれ、密度の高い、シート電圧の低い、及びイオン衝撃の小さい、エネルギー性の高いプラズマの生成が可能になり、アスペクト比の高い三次元構造体上へ堆積する場合に、有益な傾向となる方向性がある。
ある実施形態では、ペデスタル508は、ヒーター510によって温度制御されてもよい。さらに、ある実施形態では、処理装置500のための圧力制御は、バタフライ弁518等の1つ以上の弁操作された真空源によって、提供されてもよい。図5の実施形態で示すように、バタフライ弁518は、下流真空ポンプ(図示せず)によって提供される真空の流れを絞る。しかしながら、ある実施形態では、処理装置500の圧力制御は、処理チャンバ502に導入される1つ以上のガスの流量を変化させることによって、調整されてもよい。ある実施形態では、1つ以上の弁操作(バタフライ弁518等)された真空源を用いて、適切なALD操作上の段階の間に、プロセスステーションを包囲する体積から膜前駆体を除去することができる。
このような図5の基板処理装置が十分となり得る状況が存在する一方、時間のかかる膜堆積操作が含まれる場合は、複数の半導体基板に対して同時並行に、複数の堆積操作を実行することにより、基板処理スループットを増大させることが、有利になり得る。この目的のために、マルチステーション基板処理装置が、図6に図示され、概略的にそのように用いられてもよい。図6の基板処理装置600は、単一の基板処理チャンバ614を用いるにもかかわらず、処理チャンバの壁により画定される単一の体積の内部には、複数の基板プロセスステーションが存在し、そのそれぞれを用いて、そのプロセスステーションにおいて基板ホルダ上に保持される基板上で処理操作を実行することができる。一部の実施形態において複数のステーションを共通の低圧の環境に保持することによって、様々なステーションで実行される膜堆積プロセスの間での真空破壊に起因する欠陥を回避することができる点に、留意すべきである。
この特定の実施形態で、マルチステーション基板処理装置600は、4つのプロセスステーション601、602、603及び604を有しているように示される。また、この装置は、基板装填装置を用い、この場合基板ハンドラーロボット626は、基板を、ポッド628から装填されるカセットから、大気圧ポート620を通して、処理チャンバ614に、そして、最後に1つ以上のプロセスステーションの上へ、具体的に、この場合、プロセスステーション601及び602へ、移動させるように、構成される。また、基板移送装置としてしての機能を果たす基板カルーセル690が存在し、これはこの場合、様々なプロセスステーション601、602、603及び604の間で基板を移動させる。
図6に示される実施形態では、基板装填装置は、基板操作のために2本のアームを有する基板ハンドラーロボット626として示され、そして、図示されるように、それは、ステーション601及び602で基板を装填することができる(おそらく同時に、又はおそらく順次に)。その後、ステーション601及び602に装填した後、基板移送装置、すなわち図6に示されるカルーセル690は、180度の回転(その中心軸の周りに、これは基板の面に対して実質的に垂直(ページを抜けるように)、かつ基板間で実質的に等距離)をすることができ、ステーション601及び602からステーション603及び604へ2枚の基板を移動させる。この点で、ハンドラーロボット626はステーション601及び602の2枚の新しい基板を装填することができ、装填プロセスを完了する。取り外すためには、4枚のウエハの複数のセットが処理される場合は、ハンドラーロボット626での2枚の基板の各取り外しに伴い、移送カルーセル690を180度回転させる前に、2枚の新しい基板を装填する点を除いて、これらのステップを逆行させる。類似的には、1つのステーションのみ、例えば601に、基板を置くように構成される片腕用のハンドラーロボットを用いて、4ステップ装填プロセスでカルーセル690を90度で4回廻し、全ての4つのステーションで基板を装填する。なお、留意すべきは、図6が例証としての基板装填装置の2アームの基板ハンドラーロボット626及び例証としての基板移送装置のカルーセル690を示すものの、適切な他のタイプの基板装填及び移動装置が同様に用いられてもよいことが理解されよう。
他の類似のマルチステーション処理装置は、実施形態、例えば、所望のレベルの並列ウエハ加工、サイズ/スペースの制約、コスト制約等により、より多数又はより少数の処理ステーションを有してもよい。図6にも示され、後に更に詳しく記載されるが、システムコントローラー650は、基板処理装置の操作を制御して、様々なALD膜を達成して、ここに開示される方法論を成す。
装置コスト及び操作上の費用に関して、図6に示されるそれのようなマルチステーション基板処理装置の使用を通して様々な効率を達成することができる点に、留意すべきである。例えば、単一の真空ポンプ(図6には示されないが、例えば図5の518)を用いて、消費されたプロセスガスを排気することにより、全ての4つのプロセスステーションに関して単一の高真空環境等を達成することができる。同様に、ある実施形態では、単一のシャワーヘッドが、単一の処理チャンバ内の全ての処理ステーションの間に共有されてもよい。
しかしながら、他の実施形態において、各プロセスステーションは、ガス送出のためにそれ自身の専用のシャワーヘッドを有してもよい(例えば、図5の506を参照)が、他の特定の実施形態では共通ガス送出システムを用いてもよい(例えば、図5の501)。プロセスステーションにつき専用のシャワーヘッドを有する実施形態において、そのそれぞれの温度が、個々に調整及び/又は制御されてもよい。例えば、各シャワーヘッドは、ガスを供給する基板に対して温度調整されてもよく、又はこれと関連する基板ホルダに対して温度調整されてもよい等である。同じ手法により、例えば加熱及び/又は冷却を通して、基板ホルダが能動的に温度制御/調整される実施形態において、各基板ホルダの温度は、個々に調整されてもよい。
他のハードウェア要素は、プロセスステーションの間で共有されてもよく、又は複数存在し、プロセスステーションにつき個々に専用であり、プラズマ発生器装置の特定の要素を含んでいてもよい。全てのプロセスステーションは、例えば、共通プラズマ電力供給を共有してもよいが、他方、専用のシャワーヘッドが存在し、それらがプラズマ生成電位を印加するために用いられる場合は、これらは、プラズマ生成ハードウェアの要素を示し、これは異なるプロセスステーション個々の専用である。再び、これらのプロセスステーション具体的なシャワーヘッドの各々は、例えば具体的なプロセスステーションの熱特性及び用いられているALDプロセスの詳細の差によって、その温度が個々に調整されてもよい。
もちろん、処理チャンバ当たり用いるプロセスステーションの数を増減して、このような効率を、多かれ少なかれ達成してもよいことが、理解されるべきである。したがって、図示された処理チャンバ614はプロセスステーションを4つ含む一方、本開示による処理チャンバは、あらゆる適切な数のステーションを有してもよいことが、理解されるべきである。例えば、ある実施形態では、処理チャンバは、1台、又は2台、又は3台、又は4台、又は5台、又は6台、又は7台、又は8台、又は9台、又は10台、又は11台、又は12台、又は13台、又は14台、又は15台、又は16台、又はより多くのプロセスステーションを有してもよい(又は、前記の数値のあらゆる対によって画定する範囲で、反応チャンバ当たり多数のプロセスステーションを有するように、一組の実施形態が記載されてもよく、例えば反応チャンバ当たり2〜6つのプロセスステーション、又は反応チャンバ当たり4〜8つのプロセスステーション、又は反応チャンバ当たり8〜16のプロセスステーション、等を有する。)。
更に、複数の並列の処理操作のために、又は実施形態によっては異なる処理操作のため、共通処理チャンバ内の様々なプロセスステーションを用いてもよいことが理解されるべきである。例えば、ある実施形態では、一部のプロセスステーションは、ALDプロセスモード専用とし、他がCVDプロセスモード専用とし、更に他がALDプロセスモードとCVDプロセスモードの間でスイッチ切り替えとしてもよい。
システムコントローラー
また、図6は、プロセス条件並びにプロセスツール600及びそのプロセスステーションのハードウェア状態を制御するために用いられるシステムコントローラー650の実施形態を示す。システムコントローラー650は、1つ以上の記憶装置656、1つ以上の質量記憶機器654、及び、1つ以上のプロセッサー652を含んでもよい。プロセッサー652は、1つ以上のCPU、ASIC、汎用コンピュータ及び/又は具体的目的のコンピュータ、1つ以上のアナログ及び/又はデジタル入出力接続部、1つ以上のステッパーモーターコントローラボード、等、を含んでもよい。
ある実施形態では、システムコントローラー650は、その個別的なプロセスステーションの操作を含むプロセスツール600の操作の一部もしくは全部を制御する。システムコントローラー650は、プロセッサー652で機械可読なシステム制御命令658を実行してもよく−このシステム制御命令658は、ある実施形態では、大容量記憶装置654から記憶装置656に読み込まれる。システム制御命令658は、タイミング、気体及び液体反応物の混合物、チャンバ及び/又はステーション圧力、チャンバ及び/又はステーション温度、ウエハ温度、ターゲット電力レベル、RF電力レベル、RF曝露時間、基板ペデスタル、チャック、及び/又はサセプター位置、並びに、プロセスツール600で実行される特定のプロセスの他のパラメータ、を制御するための命令を含んでもよい。これらのプロセスは、非限定的に、基板上への膜の堆積に関連するプロセスを含むさまざまなプロセスを含んでもよい。
したがって、システムコントローラー650で実行される機械可読な命令658は、上に述べたようにALD操作(i)〜(iv)を実行するための命令、及び、高密度化SiN膜の複数の層を形成するためにALD操作(i)〜(iv)を複数回繰り返すための命令を含んでもよい。例えば、ここに開示されるALD膜形成方法論を達成するためには、1つ以上のシステムコントローラーの命令は、以下を含んでもよい:Siを備える膜前駆体を処理チャンバに流動させて、この膜前駆体を、基板ホルダに保持される半導体基板上に吸着させることにより、膜前駆体が基板上に吸着制限層を形成するように、1つ以上のガス流入口を動作するための命令、吸着した膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去するように、真空源を動作するための命令、N含有イオン及び/又はラジカルを含むプラズマを生成するようにプラズマ発生器を動作するための、及び、基板上にSiN膜層を形成するために前記プラズマに曝露することにより吸着した膜前駆体を反応させるための、非吸着膜前駆体を除去するために命令を実行した後に実行される、命令、基板表面に対して約0.035〜2.2W/cm2の電力密度を有する、Heを含むプラズマを生成するように、プラズマ発生器を動作するため、及び0.5〜15秒間前記プラズマにそれを曝露することにより、SiN膜層の密度を高めるための、吸着した前駆体に反応を起こさせるために命令を実行した後に実行される、命令、及び前述の命令を繰り返し、基板上に追加の高密度化SiN膜層を形成する命令。ある実施形態では、命令は、以下を更に含んでもよい。少なくとも一部のN含有イオン、N含有ラジカル、脱離膜前駆体、及び/又は反応副産物を、SiN膜層を包囲する体積からを除去するように真空源を動作させるための、吸着膜前駆体を反応するためにさせる命令を実行した後で、かつ、堆積膜層の密度を高めるために命令を実行する前に実行される、命令、及び、SiN膜層を包囲している体積から、少なくとも、一部のHeを除去するように真空源を動作するための、堆積膜層の密度を高めるために命令を実行した後に実行される、命令。
システム制御命令658は、あらゆる適切な方法で構成されてもよい。例えば、様々なプロセスツールプロセスを遂行するのに必要なプロセスツール要素の操作を制御するための、様々なプロセスツール要素サブルーチン又は制御目標が、書かれてもよい。システム制御命令658は、あらゆる適切なコンピュータ読取り可能なプログラミング言語でコード化されてもよい。ある実施形態では、システム制御命令658はソフトウェアで実行され、他の実施形態において、命令は、ハードウェア−例えばASIC(特定用途向けIC)の論理としてハードコード化される−で実行されてもよく、又は他の実施形態において、ソフトウェア及びハードウェアの組合せとして実行されてもよい。
ある実施形態では、システム制御ソフトウェア658は、上記に記載される様々なパラメータを制御するための、入/出力制御(IOC)シーケンス命令を含んでもよい。例えば、単数の堆積プロセス又は複数の堆積プロセスの各段階は、システムコントローラー650での実行のための1つ以上の命令を含んでもよい。プロセス条件を膜堆積処理の段階に設定するための命令が、例えば、対応する堆積のレシピ段階で含まれてもよい。ある実施形態では、処理の段階のための全ての命令がその処理の段階で同時に実行されるよう、レシピ段階が、順次作成されてもよい。
大容量記憶装置654及び/又はシステムコントローラー650に関連した記憶装置656に保存される他のコンピュータで読取り可能な命令及び/又はプログラムを、ある実施形態で用いてもよい。プログラム又はプログラムのセクションの例としては、基板配置プログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒーター制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板配置プログラムは、ペデスタル上に基板を装填(508(図5)を参照)し、かつ、基板と図5のプロセスツール500の他の部分との間の間隔を制御するために用いられる、プロセスツール要素のための命令を含んでもよい。配置プログラムは、基板上に膜を堆積するために必要な場合に反応チャンバへ基板を適切に出し入れするための、命令を含んでもよい。
プロセスガス制御プログラムは、気体組成及び流量を制御するための命令、及び任意に、これらの体積内の圧力を安定させるため、堆積への前に、1つ以上のプロセスステーションを包囲する体積へガスを流すための命令を、含んでいてもよい。ある実施形態では、プロセスガス制御プログラムは、基板の膜堆積の間に処理チャンバ内で、1つ以上のプロセスステーションを包囲している体積に特定のガスを導入するための命令を含んでもよい。プロセスガス制御プログラムは、これらのガスを同じ流量で同じ持続時間で供給するための命令、又は堆積しようとする膜の組成によっては、異なる流量及び/又は異なる持続時間で供給するための命令、を含んでもよい。プロセスガス制御プログラムは、加熱された注入モジュール内で、ヘリウム又は一部の他のキャリアガスの存在下で、液体反応物を噴霧/気化するための命令を含んでいてもよい。
圧力制御プログラムは、例えば、プロセスステーションの排気システム内のスロットルバルブを調整することによって、又は、プロセスステーション等へのガス流動を調整することによって、等により、プロセスステーション内の圧力を制御するための命令を含んでいてもよい。圧力制御プログラムは、基板の上で様々な膜タイプを堆積する間に、同じ又は異なる圧力を保持するための命令を含んでもよい。
ヒーター制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するための命令を含んでいてもよい。代替的に、又は、付加的に、ヒーター制御プログラムは、基板への伝熱ガス(例えばヘリウム)の送出を制御してもよい。ヒーター制御プログラムは、基板の様々な膜タイプの堆積の間、反応チャンバ内及び/又はプロセスステーションを包囲する体積内で、同じ又は異なる温度を保持するための命令を含んでいてもよい。
プラズマ制御プログラムは、この中の実施形態に従って、1つ以上のプロセスステーション内でのRF電力レベル、周波数及び曝露時間を設定するための命令を含んでいてもよい。ある実施形態では、プラズマ制御プログラムは、基板の膜堆積の間に、同じ又は異なるRF電力レベル及び/又は周波数及び/又は曝露時間を用いるための命令を含んでいてもよい。
ある実施形態では、システムコントローラー650に関連したユーザインターフェースを有していてもよい。ユーザインターフェースは、ディスプレイスクリーン、装置及び/又はプロセス条件のグラフィックソフトウェアディスプレイ、並びに、ポインティングデバイス、キーボード、タッチスクリーン、マイク等のユーザ入力デバイスを含んでいてもよい。
ある実施形態では、システムコントローラー650で調整されるパラメータは、プロセス条件に関するものであってもよい。非限定的な例では、プロセス気体組成及び流量、温度(例えば、基板ホルダ及びシャワーヘッド温度)、圧力、プラズマ状態(例えばRFバイアス電力レベル及び曝露時間)等を含む。これらのパラメータは、レシピの形でユーザに提供されてもよく、そしてそれはユーザインターフェースを利用して入力されてもよい。
プロセスをモニタする信号が、様々なプロセスツールセンサから、システムコントローラー650のアナログ及び/又はデジタル入力接続によって提供されてもよい。プロセスを制御する信号が、プロセスツール600のアナログ及び/又はデジタル出力接続部に出力されてもよい。モニタ可能なプロセスツールセンサの非限定的な例としては、質量流量制御装置(MFC)、圧力センサ(圧力計等)、温度センサ(例えば熱電対)等が挙げられる。適切にプログラムされたフィードバック及び制御アルゴリズムが、プロセス条件を保持するために、これらのセンサからデータに用いられてもよい。
システムコントローラー650が、機械可読な命令を上記の堆積プロセスを実行するために提供されてもよい。それらの命令が、DC電力レベル、RFバイアス電力レベル、圧力、温度等、様々なプロセスパラメータを制御してもよい。ここに記載されるように、その命令が、膜堆積操作を実行するためのパラメータを制御してもよい。
したがって、システムコントローラーは、装置がここに開示されるプロセスに従って操作を実行するように、機械可読な命令を実行するように構成される1つ以上の記憶装置及び1つ以上のプロセッサーを、典型的に含む。ここに開示される基板処理操作に従って操作を制御するための、機械可読な、非一時的媒体に含有される命令が、システムコントローラーに結合してもよい。
上記に記載される様々な装置及び方法は、リソグラフィでパターニングするためのツール及び/又はプロセス、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネル等の製造又は製作、とともに用いられてもよい。典型的には、必然的ではないが、そのようなツールが用いられ、あるいは同時に及び/又は共に一般的な製造設備でプロセスが実行される。
一部の実行において、コントローラはシステムの一部であり、それは上記の例の一部であってもよい。そのようなシステムは、単数の処理ツール又は複数の処理ツール、単数のチャンバ又は複数のチャンバ、処理のための単数のプラットホーム又は複数のプラットホーム、及び/又は具体的な処理部品(ウエハペデスタル、ガス流動システム等)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前中後にそれらの操作を制御するための、電子工学と統合されてもよい。電子工学は「コントローラ」と呼ぶこともでき、それは様々な要素又は単数のシステム若しくは複数のシステムの下位部分を制御してもよい。コントローラは、処理の要求条件及び/又はシステムのタイプにより、処理ガスの送出、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生装置設定、RF整合回路設定、周波数設定、流量設定、流体送出設定、位置的及び操作設定、ツール間のウエハの出し入れ及びその他の移送ツール、及び/又は具体的なシステムに対して接続又はインターフェースされるロードロック等を含んだ、ここに開示されるプロセスのいずれかを制御するために、プログラム化されてもよい。
広範に捉えれば、このコントローラは、様々な集積回路、論理、メモリ、及び/又は命令を受け、命令を発し、操作を制御し、クリーニング操作を可能にし、終点測定を可能にする等の、ソフトウェアを有する電子工学として定義されてもよい。集積回路は、プログラム命令を保存するファームウェアの形態のチップ、デジタル信号処理装置(DSP)、特定用途向けIC(ASIC)として定義されるチップ、及び/又は1つ以上のマイクロプロセッサー、又はプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラーを、含んでいてもよい。プログラム命令は、コントローラに連絡し、半導体ウエハのために、又は、システムのために特定のプロセスを遂行するための操作パラメータを定義する、様々な個別的な設定(又は、プログラムファイル)の形態での命令であってもよい。操作パラメータは、ある実施形態では、1つ以上の層、材料、金属、酸化物、シリコン、二酸化珪素、表面、回路及び/又はウエハのダイの製造の間に、1つ以上の処理工程を達成するための、プロセスエンジニアによって定義されるレシピの部分であってもよい。
コントローラは、一部の実行において、コンピュータの一部であっても、又はコンピュータに結合してもよく、このコンピュータは、システムに統合されてもよく、システムに結合されてもよく、別途システムにネットワーク化されてもよく、又はこれの組合せでもよい。例えば、コントローラは、「クラウド」内にあってもよく、又は全体又は一部が、ウエハ処理のリモートアクセスを可能にするfabホストコンピュータシステムであってもよい。コンピュータは、システムへのリモートアクセスを可能にすることにより、製造操作の現在の進展をモニタし、過去の製造操作の履歴を検討し、複数の製造操作から傾向又は性能測定基準を検討して現在の処理のパラメータを変更し、処理工程を設定して、現在の処理を継続し、又は新しいプロセスを開始することが可能になる。一部の例では、リモートコンピュータ(例えばサーバー)はネットワーク全体に、プロセスのレシピをシステムに提供することができ、それは局所ネットワーク又はインターネットを含んでもよい。リモートコンピュータは、リモートコンピュータからシステムにその後通信されるパラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインターフェースを含んでもよい。一部の例では、コントローラは、データの形で命令を受け、それは1つ以上の操作の間に実行される処理工程の各々のためのパラメータを指定する。これらのパラメータは、実行されるプロセスのタイプ及びコントローラがインターフェースし又は制御するように構成されるツールのタイプに特異的であってもよいことが理解されよう。したがって上に述べたように、共にネットワーク化され、一般的な目的、例えば、ここに記載されるプロセス及び制御、へと作用する、1つ以上の個別のコントローラを含む等により、コントローラは分配されてもよい。そのような目的のための分配されたコントローラの例は、チャンバ上の1つ以上の集積回路であり、これはリモートに配置される1つ以上の集積回路(例えばプラットホームレベルで、又は、リモートコンピュータの一部として)と連絡し、それは、チャンバ上のプロセスを制御するために組み合わせられる。
非限定的に、例示的なシステムは、プラズマエッチングチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属鍍金チャンバ又はモジュール、完全なチャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理気相堆積法(PVD)チャンバ又はモジュール、化学気相堆積法(CVD)チャンバ又はモジュール、原子層堆積法(ALD)チャンバ又はモジュール、原子層エッチング(ALE)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、トラックチャンバ又はモジュール、及び半導体ウエハの製造及び/又は製作に関連し又は使用可能なあらゆるその他の半導体処理システムを、含んでもよい。
上記したように、ツールで実行される生産工程又はステップにより、コントローラは、1つ以上のその他のツール回路又はモジュール、その他のツール要素、クラスタツール、その他のツール界面、隣接したツール、近隣のツール、工場中の至る所に位置するツール、メインコンピュータ、他のコントローラ、又はウエハの容器をツールの場所に出し入れする、材料輸送に用いられるツール及び/又は半導体製造工場内の搬入ポートと、通信可能であってもよい。
リソグラフィパターニング
膜のリソグラフィパターニングは、典型的に、多数の利用可能なツールで実施可能な、以下の操作の一部もしくは全部を含む:(1)基板、例えば、その上に形成される窒化シリコン膜を有している基板へ、スピンオン法又はスプレーオンツールを用いたフォトレジストを塗布すること、(2)ホットプレート若しくは炉又はその他の適切な硬化ツールを用いて、フォトレジストを硬化させること、(3)ウエハステッパー等ツールを用いて、可視光又はUV光又はX線光にフォトレジストを曝露すること、(4)ウェットベンチ又はスプレーディベロッパー等のツールを用い、選択的にレジストを除去するようにレジストを現像し、それによりパターン化すること、(5)ドライエッチングツール又はプラズマ補助エッチングツールを用いて下にある膜又は基板にレジストパターンを転写すること、及び(6)RF又はマイクロ波プラズマレジストストリッパー等のツールを用いて、レジストを除去すること。ある実施形態では、フォトレジストの塗布の前に、アッシング可能なハードマスク層(例えばアモルファス炭素層)及び他の適切なハードマスク(例えば反射防止層)を堆積してよい。
その他の実施形態
開示された前述の技術、操作、プロセス、方法、システム、装置、ツール、膜、化学、及び組成物が、明快さを促進及び理解する目的で、特定の実施形態の文脈の範囲内で、詳細に記載されたが、この開示の精神及び範囲内で、前記の実施形態を実行する多くの別な方法が存在することが、当業者にとっては明らかである。従って、ここに記載される実施形態は、限定目的ではなく、開示された発明の概念の実例となると考慮されるべきであり、結局この開示の構成要件に管理されるあらゆる請求項の範囲を過度に制限するために容認できない基準として、用いられるべきではない。
[適用例1]
処理チャンバ内の半導体基板上に、低いウェットエッチング速度を有するSiN膜を堆積する方法であって、
(a)処理チャンバ内で、Siを備える膜前駆体が基板上に吸着制限層を形成するように、半導体基板上に膜前駆体を吸着すること、
(b)吸着膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去すること、
(c)(b)で非吸着膜前駆体を除去した後に、吸着した膜前駆体を、N含有イオン及び/又はラジカルを備えるプラズマに曝露することにより、反応を生じて、基板上にSiN塗膜層を形成すること、
(e)基板表面に対して約0.035〜2.2W/cm 2 の電力密度を有する、Heを含むプラズマを、0.5〜15秒間、SiN膜層に曝露することにより、SiN膜層の密度を高めること、及び
(g)前記(a)、(b)、(c)及び(e)を反復して、基板上に他の高密度化SiN膜層を形成すること、
を有する方法。
[適用例2]
(d)前記(c)の反応の後、及び、前記(e)で密度を高める前に、N含有イオン、N含有ラジカル、脱離膜前駆体及び/又は反応副産物の少なくとも一部を、SiN膜層を包囲する体積から除去すること、を更に含み、前記(g)は、(d)を反復することを更に含む、適用例1に記載の方法。
[適用例3]
(f)前記(e)で密度を高めた後に、SiN膜層を包囲する体積から、少なくともHeの一部を除去することを更に含み、前記(g)は、(f)を反復することを更に含む、適用例2に記載の方法。
[適用例4]
膜前駆体が、1つ以上のハロゲンを更に含む、適用例1から適用例3のいずれか一項に記載の方法。
[適用例5]
膜前駆体が、2つ以上のハロゲンを更に含む、適用例1から適用例3のいずれか一項に記載の方法。
[適用例6]
膜前駆体が、二塩化シラン、ヘキサクロロジシラン、テトラクロロシラン及びアミノシランから選択される、適用例1から適用例3のいずれか一項に記載の方法。
[適用例7]
前記(c)で吸着膜前駆体が曝露される、N含有イオン及び/又はラジカルを含むプラズマが、NH 3 、N 2 及びアミンから選択されるN含有プラズマ前駆体に、RFEM放射を印加することによって、生成する、適用例1から適用例3のいずれか一項に記載の方法。
[適用例8]
N含有プラズマ前駆体が、NH 3 及びtブチルアミンから選択され、適用例7に記載の方法。
[適用例9]
前記(c)で吸着膜前駆体が曝露される、N含有イオン及び/又はラジカルを含むプラズマが、約0.035〜2.2W/cm 2 の電力密度を有し、前記(c)で、吸着膜前駆体は、前記プラズマに約0.1〜6秒間曝露されることにより、反応する、適用例1から適用例3のいずれか一項に記載の方法。
[適用例10]
Heを含むプラズマの前記(e)での電力密度の、N含有イオン及び/又はラジカルを含むプラズマの前記(c)での電力密度に対する比が、1未満である、適用例9に記載の方法。
[適用例11]
前記(e)のプラズマ曝露時間の、前記(c)のプラズマ曝露時間との比が、1よりも大きい、適用例10に記載の方法。
[適用例12]
前記(e)でSiN層が曝露される、Heを含むプラズマが、約0.070〜0.28W/cm 2 の電力密度を有し、SiN層は、前記プラズマに約4〜8秒間曝露されることにより、前記(e)で高密度化される、適用例1から適用例3のいずれか一項に記載の方法。
[適用例13]
前記(e)の間、基板を包囲する体積のHeの分圧が、約2〜6トールである、適用例1から適用例3のいずれか一項に記載の方法。
[適用例14]
前記(a)、(b)、(c)及び(e)の間の処理チャンバの温度が、約500℃以下である、適用例1から適用例3のいずれか一項に記載の方法。
[適用例15]
23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、堆積SiN膜は、約50オングストローム/分以下のウェットエッチング速度を有する、適用例1から適用例3のいずれか一項に記載の方法。
[適用例16]
半導体基板が、上部領域及び側壁を有する表面形状を表面形状内に有し、SiN膜は、表面形状内の上部領域上、及び、側壁上に、堆積され、堆積SiN膜は、23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、表面形状内の上部領域上、及び、側壁上で、約50オングストローム/分以下のウェットエッチング速度を有する、適用例15に記載の方法。
[適用例17]
低いウェットエッチング速度を有するSiN膜を半導体基板上に堆積するための装置であって、
処理チャンバ、
処理チャンバ内の基板ホルダ、
処理チャンバへガスを流すための1つ以上のガス流入口、
処理チャンバからガスを除去するための真空源、
処理チャンバ内にプラズマを発生させるためのプラズマ発生器、
1つ以上のガス流入口、真空源、を動作するために機械可読な命令を含む1つ以上のコントローラ、
及び
基板上にSiN膜層を堆積するプラズマ発生器、
を備え、
1つ以上のコントローラの命令は、
(a)Siを備える膜前駆体を処理チャンバに流動させて、この膜前駆体を、基板ホルダに保持される半導体基板上に吸着させることにより、膜前駆体が基板上に吸着制限層を形成するように、1つ以上のガス流入口を動作するための命令、
(b)吸着した膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去するように、真空源を動作するための命令、
(c)前記(b)で非吸着膜前駆体を除去した後に実行され、N含有イオン及び/又はラジカルを含むプラズマを生成するようにプラズマ発生器を動作するための、及び、基板上にSiN膜層を形成するために前記プラズマに曝露することにより吸着した膜前駆体を反応させるための、命令、
(e)前記(c)で吸着した前駆体を反応させた後に実行され、基板表面に対して約0.035〜2.2W/cm 2 の電力密度を有する、Heを含むプラズマを生成するように、プラズマ発生器を動作するため、及び0.5〜15秒間前記プラズマにそれを曝露することにより、SiN膜層の密度を高めるための、命令、及び、
(g)前記(a)、(b)、(c)及び(e)を反復して、基板上に他の高密度化SiN膜層を形成する命令、
を有する、装置。
[適用例18]
1つ以上のコントローラの命令は、前記(c)の反応の後、及び、前記(e)で密度を高める前に行われる、少なくとも一部のN含有イオン、N含有ラジカル、脱離膜前駆体、及び/又は反応副産物を、SiN膜層を包囲する体積からを除去するように真空源を動作させるための命令、を更に有し、前記(g)は、前記(d)を反復することを更に含む、適用例17に記載の装置。
[適用例19]
1つ以上のコントローラの命令は、
(f)少なくとも、前記(e)で密度を高めた後に、SiN膜層を包囲する体積からHeの一部を除去するために、真空源を動作させる命令、
を更に有し、前記(g)は、前記(f)を反復することを更に含む、適用例18に記載の装置。
[適用例20]
前記(e)でSiN層が曝露される、Heを含むプラズマが、約0.070〜0.28W/cm 2 の電力密度を有するように、プラズマ発生器は動作され、SiN層は、前記プラズマに約4〜8秒間曝露されることにより、前記(e)で高密度化される、適用例17から適用例19のいずれか一項に記載の装置。
[適用例21]
前記(e)の間に基板を包囲する体積のHeの分圧が約2〜6トールとなるように、1つ以上のガス流入口及び真空源が動作される、適用例17から適用例19のいずれか一項に記載の装置。

Claims (19)

  1. 処理チャンバ内の半導体基板上に、窒化シリコン膜を堆積する方法であって、
    (a)処理チャンバ内で、シリコンを備える膜前駆体が半導体基板上に吸着制限層を形成するように、前記基板上に膜前駆体を吸着すること、
    (b)吸着した前記膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去すること、
    (c)(b)で非吸着膜前駆体を除去した後に、吸着した前記膜前駆体を、N含有イオン及び/又はラジカルを備えるプラズマに曝露することにより、反応を生じて、前記基板上に窒化シリコン膜層を形成すること、
    (e)前記基板の表面に対して0.035〜2.2W/cm2の電力密度を有する、ヘリウムを含むプラズマを、0.5〜15秒間のプラズマ暴露時間、前記窒化シリコン膜層に曝露することにより、前記窒化シリコン膜層の密度を高めること、及び
    (g)前記(a)、(b)、(c)及び(e)を反復して、前記基板上に他の高密度化窒化シリコン膜層を形成すること、
    を有し、
    前記(c)で吸着した前記膜前駆体が曝露される、N含有イオン及び/又はラジカルを含む前記プラズマが、0.035〜2.2W/cm 2 の電力密度を有し、前記(c)で吸着した前記膜前駆体は、前記プラズマに0.1〜6秒間曝露されることにより、反応し、
    ヘリウムを含む前記プラズマの前記(e)での電力密度の、N含有イオン及び/又はラジカルを含む前記プラズマの前記(c)での電力密度に対する比が、1未満であり、
    前記(e)のプラズマ曝露時間の、前記(c)のプラズマ曝露時間との比が、1よりも大きい、方法。
  2. (d)前記(c)の反応の後、及び、前記(e)で密度を高める前に、N含有イオン、N含有ラジカル、脱離膜前駆体及び/又は反応副産物の少なくとも一部を、前記窒化シリコン膜層を包囲する体積から除去すること、を更に含み、前記(g)は、(d)を反復することを更に含む、請求項1に記載の方法。
  3. (f)前記(e)で密度を高めた後に、前記窒化シリコン膜層を包囲する体積から、少なくともヘリウムの一部を除去することを更に含み、前記(g)は、(f)を反復することを更に含む、請求項2に記載の方法。
  4. 前記膜前駆体が、1つ以上のハロゲンを更に含む、請求項1から請求項3のいずれか一項に記載の方法。
  5. 前記膜前駆体が、2つ以上のハロゲンを更に含む、請求項1から請求項3のいずれか一項に記載の方法。
  6. 前記膜前駆体が、二塩化シラン、ヘキサクロロジシラン、テトラクロロシラン及びアミノシランから選択される、請求項1から請求項3のいずれか一項に記載の方法。
  7. 前記(c)で吸着した前記膜前駆体が曝露される、N含有イオン及び/又はラジカルを含む前記プラズマが、アンモニア、窒素及びアミンから選択されるN含有プラズマ前駆体に、RF EM放射を印加することによって、生成する、請求項1から請求項3のいずれか一項に記載の方法。
  8. 前記N含有プラズマ前駆体が、アンモニア及びtブチルアミンから選択された、請求項7に記載の方法。
  9. 前記(e)で前記窒化シリコン膜層が曝露される、ヘリウムを含む前記プラズマが、0.070〜0.28W/cm2の電力密度を有し、前記窒化シリコン膜層は、前記プラズマに4〜8秒間曝露されることにより、前記(e)で高密度化される、請求項1から請求項3のいずれか一項に記載の方法。
  10. 前記(e)の間、前記基板を包囲する体積のヘリウムの分圧が、2〜6トールである、請求項1から請求項3のいずれか一項に記載の方法。
  11. 前記(a)、(b)、(c)及び(e)の間の前記処理チャンバの温度が、500℃以下である、請求項1から請求項3のいずれか一項に記載の方法。
  12. 23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、堆積した前記窒化シリコン膜は、50オングストローム/分以下のウェットエッチング速度を有する、請求項1から請求項3のいずれか一項に記載の方法。
  13. 処理チャンバ内の半導体基板上に、窒化シリコン膜を堆積する方法であって、
    (a)処理チャンバ内で、シリコンを備える膜前駆体が半導体基板上に吸着制限層を形成するように、前記基板上に膜前駆体を吸着すること、
    (b)吸着した前記膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去すること、
    (c)(b)で非吸着膜前駆体を除去した後に、吸着した前記膜前駆体を、N含有イオン及び/又はラジカルを備えるプラズマに曝露することにより、反応を生じて、前記基板上に窒化シリコン膜層を形成すること、
    (e)前記基板の表面に対して0.035〜2.2W/cm 2 の電力密度を有する、ヘリウムを含むプラズマを、0.5〜15秒間、前記窒化シリコン膜層に曝露することにより、前記窒化シリコン膜層の密度を高めること、及び
    (g)前記(a)、(b)、(c)及び(e)を反復して、前記基板上に他の高密度化窒化シリコン膜層を形成すること、
    を有し、
    23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、堆積した前記窒化シリコン膜は、50オングストローム/分以下のウェットエッチング速度を有し、
    前記半導体基板が、上部領域及び側壁を有する表面形状を表面形状内に有し、前記窒化シリコン膜は、前記表面形状内の前記上部領域上、及び、前記側壁上に、堆積され、堆積された前記窒化シリコン膜は、23℃及び760トールでモル比100:1のHF溶液に曝露されたとき、前記表面形状内の前記上部領域上、及び、前記側壁上で、50オングストローム/分以下のウェットエッチング速度を有する、方法。
  14. 化シリコン膜を半導体基板上に堆積するための装置であって、
    処理チャンバ、
    前記処理チャンバ内の基板ホルダ、
    前記処理チャンバへガスを流すための1つ以上のガス流入口、
    前記処理チャンバからガスを除去するための真空源、
    前記処理チャンバ内にプラズマを発生させるためのプラズマ発生器、
    基板上に窒化シリコン膜層を堆積させるために、前記1つ以上のガス流入口、前記真空源、および、前記プラズマ発生器を動作させるための機械可読な命令を含む1つ以上のコントローラ、
    を備え、
    前記1つ以上のコントローラの命令は、
    (a)シリコンを備える膜前駆体を前記処理チャンバに流動させて、前記膜前駆体を、前記基板ホルダに保持される半導体基板上に吸着させることにより、前記膜前駆体が前記基板上に、吸着した膜前駆体を有する吸着制限層を形成するように、前記1つ以上のガス流入口を動作させるための命令、
    (b)吸着した前記膜前駆体を包囲する体積から非吸着膜前駆体の少なくとも一部を除去するように、前記真空源を動作させるための命令、
    (c)前記(b)で非吸着膜前駆体を除去した後に実行され、N含有イオン及び/又はラジカルを含むプラズマを生成するように前記プラズマ発生器を動作させるための、及び、前記基板上に窒化シリコン膜層を形成するために前記プラズマに曝露することにより、吸着した前記膜前駆体を反応させるための、命令、
    (e)前記(c)で吸着した前記膜前駆体を反応させた後に実行され、前記基板の表面に対して0.035〜2.2W/cm2の電力密度を有する、ヘリウムを含むプラズマを生成するように、前記プラズマ発生器を動作させるため、及び0.5〜15秒間のプラズマ暴露時間、前記プラズマに前記窒化シリコン膜層を曝露することにより、前記窒化シリコン膜層の密度を高めるための、命令、及び、
    (g)前記(a)、(b)、(c)及び(e)を反復させて、前記基板上に他の高密度化窒化シリコン膜層を形成する命令、
    を有し、
    前記(c)で吸着した前記膜前駆体が曝露される、N含有イオン及び/又はラジカルを含む前記プラズマが、0.035〜2.2W/cm 2 の電力密度を有し、前記(c)で吸着した前記膜前駆体は、前記プラズマに0.1〜6秒間曝露されることにより、反応し、
    ヘリウムを含む前記プラズマの前記(e)での電力密度の、N含有イオン及び/又はラジカルを含む前記プラズマの前記(c)での電力密度に対する比が、1未満であり、
    前記(e)のプラズマ曝露時間の、前記(c)のプラズマ曝露時間との比が、1よりも大きい、装置。
  15. 前記1つ以上のコントローラの命令は、
    (d)前記(c)の反応の後、及び、前記(e)で密度を高める前に行われる、少なくとも一部のN含有イオン、N含有ラジカル、脱離膜前駆体、及び/又は反応副産物を、前記窒化シリコン膜層を包囲する体積からを除去するように前記真空源を動作させるための命令、を更に有し、
    前記(g)は、前記(d)を反復することを更に含む、請求項14に記載の装置。
  16. 前記1つ以上のコントローラの命令は、
    (f)少なくとも、前記(e)で密度を高めた後に、前記窒化シリコン膜層を包囲する体積からヘリウムの一部を除去するために、前記真空源を動作させる命令、
    を更に有し、前記(g)は、前記(f)を反復することを更に含む、請求項15に記載の装置。
  17. 前記(e)で前記窒化シリコン膜層が曝露される、ヘリウムを含む前記プラズマが、0.070〜0.28W/cm2の電力密度を有するように、前記プラズマ発生器は動作され、前記窒化シリコン膜層は、前記プラズマに4〜8秒間曝露されることにより、前記(e)で高密度化される、請求項14から請求項16のいずれか一項に記載の装置。
  18. 前記(e)の間に前記基板を包囲する体積のヘリウムの分圧が2〜6トールとなるように、前記1つ以上のガス流入口及び前記真空源が動作される、請求項14から請求項16のいずれか一項に記載の装置。
  19. 処理チャンバ内の半導体基板上に、窒化シリコン膜を堆積する方法であって、
    (a)処理チャンバ内で、シリコンを備える膜前駆体が半導体基板上に吸着制限層を形成するように、前記半導体基板上に前記膜前駆体を吸着すること、
    (b)前記吸着制限層を包囲する体積から非吸着膜前駆体の少なくとも一部を除去すること、
    (c)(b)で前記非吸着膜前駆体を除去した後に、前記吸着制限層を窒素含有イオン及び/又はラジカルを備える第1のプラズマに曝露することにより、前記吸着制限層を反応させて、前記半導体基板上に窒化シリコン膜層を形成すること、
    (e)前記窒化シリコン膜層の表面に対して0.035〜2.2W/cm2の電力密度を有する、ヘリウムを含む第2のプラズマを、0.5〜15秒間、前記窒化シリコン膜層に曝露することにより、前記窒化シリコン膜層の密度を高めること、及び
    (g)前記(a)、(b)、(c)及び(e)を反復して、前記半導体基板上に他の高密度化窒化シリコン膜層を形成すること、を有し、
    前記第1のプラズマが、0.035〜2.2W/cm2の電力密度を有し、
    前記吸着制限層が、前記第1のプラズマに0.1〜6秒間のプラズマ曝露時間曝露され、
    前記第2のプラズマの前記電力密度の前記第1のプラズマの前記電力密度に対する比が、1未満であり、
    前記第2のプラズマのプラズマ暴露時間の、前記第1のプラズマのプラズマ暴露時間との比が、1よりも大きい、方法。
JP2015184688A 2014-09-24 2015-09-18 Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置 Active JP6710032B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/494,914 2014-09-24
US14/494,914 US9214333B1 (en) 2014-09-24 2014-09-24 Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD

Publications (3)

Publication Number Publication Date
JP2016066794A JP2016066794A (ja) 2016-04-28
JP2016066794A5 JP2016066794A5 (ja) 2018-11-29
JP6710032B2 true JP6710032B2 (ja) 2020-06-17

Family

ID=54783227

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015184688A Active JP6710032B2 (ja) 2014-09-24 2015-09-18 Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置

Country Status (6)

Country Link
US (1) US9214333B1 (ja)
JP (1) JP6710032B2 (ja)
KR (1) KR102538780B1 (ja)
CN (2) CN105448701B (ja)
SG (1) SG10201507848XA (ja)
TW (1) TWI714534B (ja)

Families Citing this family (337)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
SG11201808206WA (en) 2016-04-20 2018-10-30 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device, and program
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) * 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102403102B1 (ko) * 2016-12-15 2022-05-26 에이에스엠 아이피 홀딩 비.브이. 반도체 처리 장치
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018132568A1 (en) * 2017-01-13 2018-07-19 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
WO2019028120A1 (en) * 2017-08-01 2019-02-07 Applied Materials, Inc. METHODS OF POST-PROCESSING METAL OXIDE
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) * 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11037780B2 (en) * 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11955331B2 (en) * 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6903040B2 (ja) * 2018-09-21 2021-07-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
WO2020185539A1 (en) * 2019-03-12 2020-09-17 Lam Research Corporation Multi-station semiconductor processing with independently adjustable pedestals
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP2022534801A (ja) * 2019-06-06 2022-08-03 アプライド マテリアルズ インコーポレイテッド 高エネルギー低線量プラズマを用いた窒化ケイ素ベースの誘電体膜の後処理の方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN114174553A (zh) * 2019-06-21 2022-03-11 弗萨姆材料美国有限责任公司 组合物和使用该组合物沉积含硅膜的方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7300970B2 (ja) 2019-11-19 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113053941A (zh) * 2019-12-27 2021-06-29 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7333758B2 (ja) * 2020-01-23 2023-08-25 東京エレクトロン株式会社 成膜方法及び成膜装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111900075A (zh) * 2020-06-22 2020-11-06 中国科学院微电子研究所 一种氮化硅膜及其沉积方法、半导体器件
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
WO2022020528A1 (en) * 2020-07-23 2022-01-27 Lam Research Corporation Conformal thermal cvd with controlled film properties and high deposition rate
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) * 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) * 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
EP0313683A1 (en) * 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5091332A (en) * 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) * 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US6153519A (en) * 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6197701B1 (en) * 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) * 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) * 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100338125B1 (ko) * 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6548368B1 (en) * 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) * 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) * 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6518167B1 (en) * 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6943097B2 (en) * 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7585396B2 (en) * 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) * 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7271464B2 (en) * 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5460011B2 (ja) 2008-09-30 2014-04-02 東京エレクトロン株式会社 窒化珪素膜の成膜方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) * 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR20100128863A (ko) * 2009-05-29 2010-12-08 주식회사 케이씨텍 원자층 증착장치 및 방법
CN102484070B (zh) * 2009-06-26 2014-12-10 康奈尔大学 用于铝-硅氮化物的化学气相沉积处理
KR20110002208A (ko) * 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8563095B2 (en) 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
JP2012084707A (ja) * 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
KR101628211B1 (ko) 2011-10-14 2016-06-08 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5991609B2 (ja) * 2012-02-29 2016-09-14 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
KR20140143151A (ko) * 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures

Also Published As

Publication number Publication date
JP2016066794A (ja) 2016-04-28
CN109609928A (zh) 2019-04-12
TW201623682A (zh) 2016-07-01
CN105448701A (zh) 2016-03-30
KR20160035991A (ko) 2016-04-01
US9214333B1 (en) 2015-12-15
CN105448701B (zh) 2018-10-19
TWI714534B (zh) 2021-01-01
SG10201507848XA (en) 2016-04-28
KR102538780B1 (ko) 2023-05-31

Similar Documents

Publication Publication Date Title
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
CN111247269B (zh) 介电膜的几何选择性沉积
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
US8647993B2 (en) Methods for UV-assisted conformal film deposition
KR20230148308A (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
US20160329206A1 (en) Methods of modulating residual stress in thin films
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
SG192375A1 (en) Method for depositing a chlorine-free conformal sin film
WO2011130397A2 (en) Improved silicon nitride films and methods
WO2020005776A1 (en) Selective growth of metal-containing hardmask thin films
WO2022020507A1 (en) Advanced self aligned multiple patterning using tin oxide
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
TW202409322A (zh) 橫向間隙填充

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180914

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181017

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191008

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200428

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200526

R150 Certificate of patent or registration of utility model

Ref document number: 6710032

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250