KR102403102B1 - 반도체 처리 장치 - Google Patents

반도체 처리 장치 Download PDF

Info

Publication number
KR102403102B1
KR102403102B1 KR1020197015957A KR20197015957A KR102403102B1 KR 102403102 B1 KR102403102 B1 KR 102403102B1 KR 1020197015957 A KR1020197015957 A KR 1020197015957A KR 20197015957 A KR20197015957 A KR 20197015957A KR 102403102 B1 KR102403102 B1 KR 102403102B1
Authority
KR
South Korea
Prior art keywords
layer
substrate
reaction chamber
precursor
infiltration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
KR1020197015957A
Other languages
English (en)
Other versions
KR20190095274A (ko
Inventor
루스트 다피트 쿠르트 데
베르너 크네펜
크지슈토프 카헬
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190095274A publication Critical patent/KR20190095274A/ko
Application granted granted Critical
Publication of KR102403102B1 publication Critical patent/KR102403102B1/ko
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

반도체 처리 장치 내에 구조체를 형성하기 위한 장치 및 방법이 개시된다. 장치는 제1층을 갖는 기판을 적어도 하나 유지하도록 구성되는 제1 반응 챔버를 포함한다. 장치는 기판 상에 제1 전구체 및 제2 전구체를 순차적으로 펄스화함으로써 침윤을 수행하기 위해 구성되는 전구체 전달 시스템을 또한 포함한다. 장치는 또한 침윤 재료를 남기면서 기판 상에 배치되는 제1 층의 적어도 일부를 제거하기 위해 구성되는 제1 제거 시스템을 포함할 수 있고, 침윤과 제1 층의 적어도 일부분의 제거가 동일한 반도체 처리 장치 내에서 일어난다. 반응 챔버 내에서 처리를 위해 기판 위에 배치된 제1 층을 갖는 기판을 제공하는 단계를 포함하여 구조체를 반도체 처리 장치 내에서 형성하는 방법이 또한 개시된다. 방법은 기판 상에 제1 전구체 및 제2 전구체를 순차적으로 펄스화함으로써 제1 층 침윤을 수행하는 단계를 또한 포함할 수 있으며, 침윤 재료는 제1 전구체 및 제2 전구체의 반응으로부터 제1 층에 형성된다. 방법은 또한 침윤을 수행한 단계 이후에 기판 상에 배치되는 제1 층의 적어도 일부를 제거하는 단계를 포함할 수 있으며, 침윤과 제1 층의 적어도 일부의 제거가 동일한 반도체 처리 장치 내에서 일어난다.

Description

반도체 처리 장치
관련 출원의 상호 참조
본 출원은 2016년 12월 15일 출원된 미국 특허 가출원 61/434,955호의 이익을 주장하고, 이의 개시는 그 전체가 본원에 참조로 포함된다.
기술분야
본 개시는 일반적으로 전자 소자의 제조 장치에 관한 것이다. 보다 상세하게, 본 개시는 구조체를 형성하도록 구성된 반도체 처리 장치에 관한 것이다.
반도체 소자의 크기가 점점 더 작아지는 추세로 나아감에 따라, 상이한 패터닝 기술이 생겨났다. 이러한 기술에는 자기-정렬 다중 패터닝, 스페이서 한정 쿼드러플 패터닝, 심자외선 리소그래피(DUV), 극자외선 리소그래피(EUV), 및 스페이서 한정 더블 패터닝과 결합된 DUV와 EUV를 포함한다. 또한, 유도 자기-조립(DSA)은 미래의 리소그래피 응용분야를 위한 옵션으로 고려되어 왔다. DSA는 블록 코폴리머의 사용을 수반하여 자기-조립용 패턴을 한정한다. 사용된 블록 코폴리머는 폴리(메틸 메타크릴레이트)(PMMA), 폴리스티렌, 또는 폴리(스티렌-블록-메틸 메타크릴레이트)(PS-b-PMMA)를 포함할 수 있다. 다른 블록 코폴리머는 최근 떠오르는 "하이-키(high-Chi)" 고분자를 포함할 수 있으며, 이는 잠재적으로 작은 치수를 가능하게 할 수 있다. 이러한 접근 방식은 7 nm 범위의 생산 분기점을 허용해 왔다.
상술한 패터닝 기술은 기판의 고 해상도 패터닝이 가능하도록 기판 상에 배치된 적어도 하나의 고분자 레지스트를 이용할 수 있다. 고 해상도 및 라인-에지 조도(line-edge roughness) 요건을 모두 만족시키기 위해, 고분자 레지스트는 일반적으로 얇은 층일 수 있다. 그러나, 이러한 얇은 고분자 레지스트는 몇 가지 단점을 가질 수 있다. 특히, PMMA 또는 폴리스티렌과 같은 고 해상도 고분자 레지스트는 에칭 저항성이 낮을 수 있다. 이렇게 낮은 에칭 저항성은 패터닝된 레지스트의 하부층 전사를 더욱 어렵게 한다. 에칭 저항성 및 에칭 선택도가 극히 낮은 반도체 소자의 크기를 더 축소시키기 위해 첨단 고 해상도 고분자 레지스트가 필요한 경우, 에칭 저항성이 낮은 문제는 더 심해진다. 또한, 고 해상도 고분자 레지스트는 얻어진 패턴에서 높은 에지 조도를 초래할 수 있다.
일부 응용에서, 고분자 레지스트의 패턴을 하드마스크에 전사하는 것이 유리할 수 있다. 하드마스크는 반도체 처리에서 고분자 또는 다른 유기 "소프트(soft)" 레지스트 재료 대신에 에칭 마스크로서 더 높은 에칭 저항성과 에칭 선택도를 갖는 재료이다. 그러나, 하드 마스크조차도 조정될 필요가 있는 선폭, 라인 에지 조도 또는 에칭 속도를 가질 수 있다.
그 결과, 보다 우수한 특성을 갖는 고분자 레지스트 및 하드마스크 시스템이 바람직할 수 있다.
본 발명의 적어도 일 구현예에 따라, 구조체를 형성하도록 구성되는 반도체 처리 장치가 개시된다. 반도체 처리 장치는, 제1 층을 갖는 적어도 하나의 기판을 유지하도록 구성되는 제1 반응 챔버를 포함할 수 있다. 상기 장치는 또한 전구체 전달 시스템을 포함할 수 있으며, 상기 전구체 전달 시스템은 제1 전구체 및 제2 전구체를 상기 적어도 하나의 기판으로 순차적으로 펄스화함으로써 침윤을 수행하도록 구성되어 상기 제1 전구체 및 상기 제2 전구체의 반응으로부터 상기 제1 층 내로 적어도 상기 제1 전구체 및 상기 제2 전구체를 침윤시킴으로써 침윤 재료를 형성한다. 반도체 처리 장치는 또한 상기 침윤 재료를 남기면서 상기 기판 상에 배치된 상기 제1 층의 적어도 일부를 제거하도록 구성된 제1 제거 시스템을 포함할 수 있고, 상기 침윤과 상기 제1 층의 적어도 일부분의 제거가 동일한 반도체 처리 장치 내에서 일어난다.
본 발명의 적어도 일 구현예에 따라, 반도체 처리 장치 내에서 구조체를 형성하는 방법이 개시된다. 상기 방법은 상기 기판 상에 배치된 제1 층을 갖는 기판을 공정 처리를 위해 반응 챔버 내에 제공하는 단계를 포함할 수 있다. 상기 방법은 또한 제1 전구체 및 제2 전구체를 기판 상으로 순차적으로 펄스화함으로써 제1 층 침윤을 수행하는 단계를 포함할 수 있고, 상기 제1 층 침윤은 적어도 제1 전구체 및 제2 전구체를 상기 제1 층 내로 침윤시킬 수 있도록 구성되고, 상기 제1 전구체 및 상기 제2 전구체의 과잉분은 상기 반응 챔버로부터 퍼지되고, 침윤된 재료는 상기 제1 전구체 및 상기 제2 전구체의 반응으로부터 상기 제1 층 내에 형성된다. 상기 방법은 또한 상기 침윤 재료를 남기면서 상기 침윤을 수행한 단계 이후에 상기 기판 상에 배치된 상기 제1 층의 적어도 일부를 제거하는 단계를 포함할 수 있고, 상기 침윤과 상기 제1 층의 적어도 일부분의 제거가 동일한 반도체 처리 장치 내에서 일어난다.
선행 기술에 비해 달성되는 장점들 및 본 발명을 요약하기 위해, 본 발명의 특정 목적 및 장점들이 앞서 본원에 기술되었다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들어 당업자는, 본 발명이, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성 또는 최적화 하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두 본원에 개시된 본 발명의 범주 내에 있도록 의도된다. 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 특정 구현예들의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명하게 될 것이고, 본 발명은 개시된 임의의 특정 구현예(들)에 한정되지 않는다.
본원에 개시된 발명의 이러한 그리고 기타 특징, 양태 및 장점은 특정 구현예의 도면을 참조하여 아래에 설명될 것이고, 이는 본 발명을 도시하고, 본 발명을 한정하기 위함은 아니다.
도 1은 본 발명의 적어도 하나의 구현예에 따른 흐름도이다.
도 2는 본 개시의 다양한 예시적 구현예에 따른 예시적 반도체 처리 장치를 도시한다.
도 3은 본 개시의 다양한 예시적 구현예에 따른 추가적이고 예시적인 반도체 처리 장치를 도시한다.
도면의 구성 요소들은 간략하게 및 명료하게 도시되어 있으며, 도시된 본 개시의 구현예의 이해를 돕기 위해 반드시 축적대로 그려지지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 도시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 균등물을 넘어 연장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다.
또한, 비록 다수의 예시적인 물질들은 본 개시의 구현예를 통해 주어지나, 예시적인 물질들 각각에 대해 주어진 화학식들을 제한적인 것으로 이해해서는 안되고, 주어진 비제한적 예시적인 물질들이 주어진 예시적 화학량론에 의해 한정되어서는 안된다라는 점을 주목해야 한다.
본원에서 사용되는 바와 같이, 용어 "구조체(structure)"는 하나 이상의 물질의 패터닝된 그리고 패턴이 없는(즉, 평면형) 층을 모두 포함할 수 있다.
본 개시에 따른 구현예는 고 해상도 고분자 레지스트 및 하드마스크 재료를 침윤 공정으로 조합하는 것에 관한 것이다. 이렇게 고분자 레지스트와 하드마스크 재료를 침윤 공정으로 조합하는 것은 고분자 레지스트와 하드마스크 재료의 에칭 저항성을 상당히 증가시킬 수 있다. 침윤 기술은 고 해상도 고분자 레지스트와 하드마스크가 전구체 가스와 반응하여 에칭 저항성을 개선할 수 있게 하며, 후속 공정은 에천트 가스를 활용하여 고 해상도 고분자 레지스트 및 하드마스크 재료의 원하지 않는 부분을 제거시킬 수 있다.
침윤 공정을 고해상도 고분자 및 하드마스크 패터닝과 조합하는 것은, 미국 특허 공보 제20140273514A1호에 설명된 것과 같이 종래의 접근법으로는 이전에 보이지 않는 이점을 제공할 수 있다. 예를 들어, 알루미늄 산화물(Al2O3)을 90oC에서 침윤시키는 것은 고 해상도 고분자 레지스트와의 반응을 허용할 수 있다. 알루미늄 산화물은 고 해상도 고분자 레지스트의 상부에 증착할 뿐만 아니라, 상기 고분자의 강성을 증가시키기 위해 상기 고분자 내로 주입될 수 있다.
도 1은 본 발명의 적어도 하나의 구현예에 따른 방법(100)을 도시한다. 방법(100)은 기판 상에 배치된 제1 층을 갖는 상기 기판을 반도체 처리 장치 내에 제공하는 제1 단계(110)를 포함한다.
본 개시의 일부 구현예에서, 상기 제1 층은 고 해상도 고분자 레지스트 또는 하드마스크 재료 중 적어도 하나를 포함할 수 있다. 보다 상세하게, 일부 구현예에서, 제1 층은 폴리(메틸 메타크릴레이트)(PMMA), 폴리스티렌, 폴리(스티렌-블록-메틸 메타크릴레이트)(PS-b-PMMA), 심자외선 포토레지스트, 193 nm 포토레지스트(잠입(193i) 및 비-잠입(193)) 및 극자외선 포토레지스트 중 적어도 하나를 포함하는 고 해상도 고분자 레지스트를 포함할 수 있다. 본 개시의 일부 구현예에서, 제1 층은 제1 성분 및 제2 성분을 포함할 수 있고, 상기 제1 성분은 적어도 제1 DSA 고분자를 가질 수 있고 상기 제2 성분은 제2 DSA 고분자를 가질수 있으며, 상기 제1 DSA 고분자 및 상기 제2 DSA 고분자는 다른 고분자 중에서도 PMMA, 폴리스티렌(PS)으로 제조될 수 있다. 본 개시의 일부 구현예에서, 제1 층은, 스핀-온-글라스, 스핀-온-카본 층, 실리콘 질화물층, 반사 방지 코팅층 또는 비정질 탄소층 중 적어도 하나를 더 포함하는 하드마스크 재료를 포함할 수 있다. 스핀-온-글라스 또는 스핀-온-카본층은, 하드마스크 재료를 제공하도록 기판 상에 유리 또는 탄소층을 스피닝함으로써 제공될 수 있다.
일부 구현예에서, 반도체 처리 장치는 배치식 반응기(예, 단일 반응 챔버) 또는 2개의 배치식 반응기(예, 2개 이상의 반응 챔버)를 갖는 클러스터 툴일 수 있다. 잠재적인 반도체 처리 장치의 한 예는 반응 챔버를 포함할 수 있고, 이는 2개의 반응 챔버에서 동일한 공정을 실행하거나 2개의 상이한 공정을 독립적으로 또는 순차적으로 실행할 수 있다. 일부 구현예에서, 반도체 처리 장치는 단일 웨이퍼용 반응기(예, 단일 반응 챔버) 또는 2개의 단일 웨이퍼용 반응기(예, 2개 이상의 반응 챔버)를 갖는 클러스터 툴일 수 있다. 잠재적인 처리 챔버의 한 예는 처리 챔버를 포함할 수 있고, 이는 2개 이상의 단일 웨이퍼용 반응 챔버에서 동일한 공정을 실행하거나 2개의 상이한 공정을 독립적으로 또는 순차적으로 실행할 수 있다.
일부 구현예에서, 기판 상에 배치된 제1 층은 블록 코폴리머를 포함하고, 방법(100)은 또한 DSA 고분자의 자기-조립 어닐링을 수행하는 단계를 포함할 수 있다. 어닐링 공정의 목적은 DSA 고분자 또는 블록 코폴리머에 자기-조립 또는 자기-조직을 조장하는 것이다. 즉, 고분자 내의 구멍/기둥/포스트의 그리드 또는 평행선이 기판 상에 안내 구조체에 의해 유도된 대로 형성될 수 있다. 본 발명의 적어도 하나의 구현예에 따라, 이는 PMMA의 도메인 및 PS의 도메인이 교대 방식으로 형성될 수 있음을 의미할 수 있다. 자기-조립 어닐링에 의해 달성되는 이점은 자기-조립 공정의 개선, 결함의 감소, 향상된 라인 폭 조도 및 향상된 임계 치수(CD) 균일성을 포함할 수 있다.
대안적인 구현예에서, 제1 층은 블록 코폴리머를 포함하지 않을 수 있는 고 해상도 고분자 레지스트를 포함할 수 있고, 어닐링 단계는 고분자로부터 수분 또는 다른 오염물을 디가싱하거나, 고분자를 경화시키거나, 또는 기판 표면으로부터 고분자의 일부를 선택적으로 연소시키는 목적을 가질 수 있다.
얻어진 패턴에서 낮은 결함 밀도에 도달하기 위해서 DSA 고분자의 자기-조립 어닐링이 수행되는 구현예에서, 어닐링 공정의 압력, 주변 조건, 온도, 및 시간과 같은 공정 인자가 중요할 수 있다. 낮은 결함 밀도를 얻기 위해 긴 어닐링 시간이 필요할 수 있다. 어닐링은 100 °C 내지 400 °C, 또는 200 °C 내지 300oC, 또는 약 250oC에서 약 60분 동안 할 수 있다. 원하는 어닐링의 양에 따라 기타 온도 및 지속 시간이 가능하다. 그러나, 자기-조립 어닐링 온도는 너무 높게 증가해서는 안되고, 높으면 고분자가 분해하기 시작할 수 있다.
어닐링이 행해지는 주위 환경은 질소, 아르곤, 헬륨, 수소, 산소, 오존, 수증기, 용매 증기 또는 이들 가스의 혼합을 포함할 수 있다. 어닐링 주변 환경의 압력은 초고진공에서 대기압 또는 심지어 대기압보다 높은 범위의 임의의 압력일 수 있다.
본 발명의 일 구현예에 따라, 어닐링 공정은 단일 웨이퍼 핫 플레이트 상에서 일어날 수 있다. 본 발명의 다른 구현예에 따라, 배치식 반응기는 긴 어닐링 시간을 필요로 하는 공정에 유리할 수 있다. 배치식 반응기는 2 내지 250매 기판, 바람직하게는 5 내지 150매 기판, 또는 보다 바람직하게는 약 100매 기판을 수용할 수 있다. 예를 들어, 2개 이상의 반응 챔버를 포함하는 클러스터 툴은 하나의 반응 챔버가 어닐링 공정을 위해 사용될 수 있도록 작동될 수 있다. 이것은 비용 효과적인 방법으로 1 내지 2 시간 수준으로 긴 어닐링 시간을 수행시킬 수 있다.
일부 구현예에서, 제1 단계는 또한 선택적인 트리밍 공정을 포함할 수 있으며, 상기 트리밍 공정은 본 개시의 후속 공정 이전에 상기 제1 층의 일부를 제거하기 위해 수행될 수 있다. 본 개시의 일부 구현예에서, 트리밍 공정은 상기 제1층을 여기 플라즈마, 예를 들어 산소(O2), 질소(N2), 오존(O3), 및 수소(H2) 중 적어도 하나의 여기 종을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다. 본 개시의 일부 구현예에서, 트리밍 공정은 플라즈마가 없는 오존에 상기 제1 층을 노출시키는 단계를 포함할 수 있다. 비제한적이고 예시적인 구현예로서, 트리밍 공정은 상기 제1 층을 산소 및 질소의 여기 종을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다. 비제한적이고 예시적인 구현예로서, 트리밍 공정은 상기 제1 층을 산소 여기 종을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 플라즈마는 또한 추가 종, 예를 들어 Ar 종과 같은 희귀 가스를 또한 포함할 수도 있다. 비제한적이고 예시적인 추가 구현예로서, 트리밍 공정은 상기 제1 층을 수소 및 질소의 여기 종을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다. 트리밍 공정이 여기 플라즈마를 이용해서 제1 층의 일부를 제거하는 구현예에서, 상기 제1 층은 약 20°C 초과, 또는 일부 구현예에서 약 50 °C 초과의 온도로 가열될 수 있거나, 또는 본 개시의 일부 구현예에서, 트리밍 공정은 상기 제1 층을 약 100°C 초과, 또는 약 200°C 초과, 또는 약 300°C 초과, 또는 심지어 약 400°C 초과의 온도로 가열하는 단계를 포함할 수 있다.
추가적으로 및/또는 대안적으로 트리밍 공정은 제1 층을 원하는 공정 온도로 가열하여 제1 층의 일부분의 분해를 촉진함으로써 제1 층의 일부분이 제거될 수 있도록 열 공정을 포함할 수 있다. 본 개시의 일부 구현예에서, 트리밍 공정은 제1 층을 약 100°C 초과, 또는 약 200°C 초과, 또는 약 300°C 초과, 또는 심지어 약 400°C 초과의 온도로 가열하는 단계를 포함할 수 있다.
방법(100)은, 예를 들어 금속 또는 유전체 막 중 적어도 하나를 제1 층 내로 침윤시키는 것과 같은 침윤 공정을 수행하는 제2 단계(120)를 또한 포함할 수 있다. 일부 구현예에서, 제1 층은 제1 DSA 고분자 또는 제2 DSA 고분자를 더 포함할 수 있는 적어도 하나의 고분자층을 포함할 수 있다. 이와 같이, 침윤 공정이 2개의 고분자 중 하나만 선택적으로 반응할 수 있는 방식으로 침윤 공정은 행해질 수 있다. 예를 들어, 증착막이 PS 고분자 말고 PMMA 고분자와 반응할 수 있도록 침윤 공정이 일어날 수 있다.
본 발명의 적어도 하나의 구현예에 따라, 제2 단계(120)는 금속 또는 유전체막의 원자층 증착을 포함할 수 있다.
또한, 증착된 금속 또는 유전체막이 제1 층에 침투함으로써 침윤 재료를 형성할 수 있으면서, 또한 제1 층의 전체 부피 상으로 제2 막을 증착할 수 있도록 침윤 공정이 수행될 수 있다. 본 발명의 적어도 하나의 구현예에 따라, 제2 단계(120)는 클러스터 툴의 하나의 반응 챔버에서 일어날 수 있어서, 어닐링 단계는 클러스터 툴의 또 다른 반응 챔버에서 일어난다. 본 발명의 적어도 하나의 구현예에 따라, 제2 단계(120)는 클러스터 툴의 하나의 반응 챔버에서 일어날 수 있어서, 트리밍 공정은 클러스터 툴의 또 다른 반응 챔버에서 일어난다. 어닐링 단계, 트리밍 공정, 제2 단계(120)는 배치식 반응기 또는 클러스터 툴의 하나의 단일 반응 챔버에서 일어나는 것이 가능할 수도 있다. 또한, 기판은 다중 기판 홀더 내의 적어도 제2 기판과 함께 제1 반응 챔버로부터 제2 반응 챔버로 이송될 수 있다. 다중 기판 홀더는 25매 이상 기판, 50매 이상 기판, 75매 이상 기판 또는 100매 이상의 기판까지 수용할 수 있다.
제2 단계(120)에서 제1 층으로 침윤하는 금속 또는 유전체는, 알루미늄 산화물(Al2O3), 실리콘 이산화물(SiO2), 실리콘 질화물(SiN), 실리콘 산탄화물(SiOC), 실리콘 탄질화물(SiCN), 실리콘(Si), 알루미늄 질화물(AlN), 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 텅스텐(W), 코발트(Co), 티타늄 이산화물(TiO2), 티타늄 탄화물(TiC), 탄탈륨 산화물(Ta2O5), 지르코늄 이산화물(ZrO2), 또는 하프늄 이산화물(HfO2)을 포함할 수 있다. 침윤 공정을 수행하도록 금속을 얻기 위한 전구체는, 알루미늄 산화물(Al2O3)을 형성하기 위해 트리메틸알루미늄(TMA) 및 물(H2O)과 같은 것이 사용될 수 있다.
제2 단계(120)에서의 침윤 공정은 Al2O3를 형성하기 위해 25 °C 내지 400 °C 범위의 온도, 또는 60 °C 내지 90 °C 범위의 온도에서 일어날 수 있다. 제2 단계(120)에서의 온도는 선택적인 어닐링 단계 중의 온도보다 작을 수 있어서, 250℃의 예시적인 어닐링 온도로부터 70℃의 제2 단계(130) 온도로 가기 위해서 냉각 단계가 필요할 수 있다. 본 발명의 적어도 일 구현예에 따라, 선택적 어닐링 공정의 온도는 제2 단계(120)의 온도 이상이거나, 제2 단계(120)의 온도보다 25℃ 내지 300℃ 더 높거나, 심지어 제2 단계(120)의 온도보다 적어도 100 내지 250℃ 더 높다.
제2 단계(120)는 0.5 초 내지 10 분 범위의 지속 시간 동안 TMA와 같은 제1 전구체의 제1 펄스를 포함할 수 있다. 제2 단계(120)는 그 다음 10 초 내지 60 초 범위의 지속 시간 동안 퍼지를 포함할 수도 있다. 제2 단계(120)는 그 다음 10 초 내지 60 초 범위의 지속 시간 동안 물과 같은 제2 전구체의 펄스를 포함할 수 있다. 제2 단계(120)는 그 다음 10 초 내지 2 분 범위의 지속 시간을 갖는 제2 퍼지를 포함할 수 있다. 또한, 제2 단계(120)는 기판 상에 배치된 제1 층 내로 금속 또는 유전체의 충분한 침윤을 얻기 위해 필요에 따라 반복될 수 있다.
본 발명의 적어도 하나의 구현예에 따라, 침윤의 제2 단계(120)는 어닐링의 선택적 단계를 선행할 수 있다. 이 경우, 금속 또는 유전체막이 먼저 제1 층에 침윤하고나서 어닐링 공정이 발생할 수 있다. 어닐링 공정의 결과로서, 제2 단계(120) 동안에 금속 또는 유전체막과 반응하지 않은 제1층의 일부는 어닐링 단계에서 연소될 수 있다. 본 발명의 적어도 하나의 구현예에서, 선택적인 어닐링 단계 및 침윤의 제2 단계(120)는 주위 공기에 노출되지 않고 일어난다. 주위 대기에 노출이 거의 되지 않아서 실질적으로 많은 양의 산소 또는 물에 노출되는 것이 없다. 주위 대기에 노출되면, 어닐링된 패턴의 정렬이나 잠재적으로 물을 흡수하는 고분자의 영향을 받을 수 있는 고분자의 침윤에 악영향을 미칠 수 있다. 고분자가 물을 흡수하면, 원하지 않는 물질의 증착 결과가 생길 수 있다.
방법(100)은 전구체를 퍼지하는 추가적인 단계를 또한 포함할 수 있다. 추가적인 퍼지 단계는 질소, 헬륨, 아르곤 및 다른 비활성 가스와 같은 퍼지 가스의 도입을 수반할 수 있다. 퍼지 가스는 반응 챔버로부터 과잉의 전구체를 제거한다. 퍼지 단계는 제2 단계(120)의 온도와 유사한 온도에서 일어날 수 있다.
본 발명의 적어도 하나의 구현예에 따라, 전구체가 제1 층 내로 침윤하도록 허용하기 위해 필요하거나 원하는 만큼 제2 단계(120)는 반복될 수 있다. 상기 사이클은 제1 층 내에 충분한 양의 금속 또는 유전체막을 보장하기 위해 약 1회 이상, 2회 이상, 3회 이상, 4회 이상, 또는 심지어 5회 이상 반복될 수 있다. 각 사이클에서, 제2 단계(130)의 지속시간은 분 단위일 수 있다. 이 지속시간 동안 배치식 반응기는 한 번에 최대 100매 이상의 웨이퍼를 처리하여 높은 생산성과 낮은 공정 비용을 달성하는 데 사용될 수 있다.
본 발명의 적어도 하나의 구현예에 따라, 방법(100)은 제2 단계(120)가 펄스-퍼지-펄스-퍼지 방식으로 반복될 수 있도록 조작될 수 있다. 이들 단계의 조건은 전구체가 제1 층에 침윤할 수 있게 하기 위해 더 높은 압력 및 더 긴 시간으로 설정될 수 있다. 이러한 방식으로 단일 사이클은 지속시간이 0.5 초 내지 120 분 사이의 범위일 수 있고, 일부 구현예에서 단일 사이클은 지속시간이 1 초 내지 60 분 사이의 범위일 수 있고, 또는 심지어 일부 구현예에서 단일 사이클은 지속시간이 2 초 내지 20 분 사이의 범위일 수 있다. 사이클은 여러 번 반복될 수 있는데, 예를 들어 일부 구현예에서 상기 제1 층 내부에서 물질의 침윤을 충분히 얻기 위해 상기 사이클은 1회 이상, 2회 이상, 3회 이상, 4회 이상, 4회 이상, 또는 심지어 5회 이상 반복될 수 있다. 제1 층 내부에서 물질의 침윤은 시간이 더 오래 걸릴 수 있기 때문에, 어닐링 및 침윤의 조합 공정은 배치 방식으로 단계를 수행할 수 있는 기회를 제공한다.
방법(100)은 침윤 공정을 수행한 단계 이후에 기판 상에 배치된 제1 층의 일부분을 제거하는 제3 단계(130)를 또한 포함할 수 있다. 예를 들어, 일부 구현예에서, 제1 층의 침윤 이후에 상기 침윤 공정에 의해 영향을 받지 않는 제1 층의 잔여 부분이 있을 수 있다. 침윤 공정에 의해 영향을 받지 않는 제1 층의 일부는 바람직하지 않을 수 있는데, 제1 층의 영향을 받지 않는 이러한 일부가 기판 상에서 수행되는 후속 공정에, 예를 들어 후속 증착 또는 에칭 공정에 적합하지 않을 수 있기 때문이다. 따라서, 본 개시의 구현예는 침윤 이후 그러나 기판의 후속 처리 이전에 제1 층의 원하지 않는 나머지 부분을 제거할 수 있다.
본 개시의 일부 구현예에서, 기판 상에 배치된 제1 층의 일부를 제거하는 제3 단계(130)는 제1 층을 에천트 가스에 노출시키는 단계를 포함할 수 있고, 추가적인 구현예에서 제1 층을 에천트 가스에 노출시키는 단계는 제1 층을 산소 함유 반응물에 노출시키는 단계를 포함할 수 있다. 예를 들어, 기판 상에 배치된 제1 층의 일부를 제거하는 제3 단계(130)는, 제1 층을 산소 함유 플라즈마 또는 오존 함유 반응물 중 적어도 하나에 노출시키는 단계를 포함할 수 있다.
제1 층의 일부를 제거하기 위해 산소 함유 플라즈마를 사용하는 구현예에서, 방법은 제1 층의 일부를 효과적으로 제거하기 위한 산소 종을 여기하기 위한 플라즈마 발생기를 이용하는 단계를 포함할 수 있으며, 공정은 종종 "애싱(ashing)"으로 지칭된다. 플라즈마 발생기는 산소(O2), 또는 대안적으로 산소(O2) 및 질소(N2)의 가스 혼합물로 공급될 수 있다. 따라서, 제1 층의 일부를 제거하기 위한 에천트는 산소 여기 종 및 질소 여기 종 중 적어도 하나를 포함할 수 있다. 산소 함유 플라즈마를 활용하여 제1 층의 일부를 제거하는 구현예에서, 제1 층은 약 20°C 초과, 또는 약 50°C 초과, 또는 약 100°C 초과, 또는 약 200°C 초과, 또는 약 300°C 초과, 또는 심지어 약 400°C 초과의 온도로 가열될 수 있다.
일부 구현예에서, 제1 층의 일부를 제거하기 위해 오존 함유 반응물을 사용하는 단계는 제1 층을 오존(O3)을 포함하는 가스 혼합물에 노출시키는 단계를 포함할 수 있다. 일부 구현예에서, 오존을 포함하는 가스 혼합물은 순수 오존으로 이루어질 수 있는 반면에, 대안적인 구현예에서 오존을 포함하는 가스 혼합물은 오존, 및 적어도 하나의 수증기, 산소 또는 불활성 캐리어 가스를 포함할 수 있다.
일부 구현예에서, 제1 층의 적어도 일부분을 제거하는 단계는 제1 층을 약 100°C 초과, 또는 약 150°C 초과, 또는 약 200°C 초과, 또는 약 250°C 초과, 또는 약 300°C 초과의 온도, 또는 약 350°C 초과, 또는 심지어 약 400°C 초과의 온도로 가열하는 단계를 포함할 수 있다. 예를 들어, 비제한적인 예로서 고분자 레지스트나 스핀-온-카본층과 같이 탄소 함유 재료를 포함하는 구현예에서, 이전 침윤 공정에 의해 영향을 받지 않는 제1층의 일부는 약 300°C 초과의 온도에서 분해될 수 있어서 추가적인 에천트 필요 없이 제거될 수 있다. 추가 구현예에서, 제1 층은 용매 또는 오존 에천트에 노출되는 동안에 약 300°C 초과의 온도로 가열될 수 있다.
일부 구현예에서, 침윤 공정을 수행한 단계 이후에 기판 상에 배치된 제1 층의 적어도 일부를 제거하는 단계는, 제1 층의 적어도 일부를 선택적으로 제거하는 단계를 더 포함한다. 보다 상세하게, 제1 층의 일부는 침윤 공정 동안에 적어도 제1 전구체 및 제2 전구체로 침윤될 수 있음으로써, 침윤 재료를 형성한다. 침윤 공정에 의해 영향을 받지 않는 제1 층의 일부는 본원에서 전술한 바와 같이 바람직하지 않다. 따라서, 본 개시의 구현예의 방법은 침윤 공정에 의해 영향을 받지 않는 제1 층의 일부를 선택적으로 제거할 수 있다.
본 개시의 구현예에 따라, 침윤 공정 및 제1 층의 적어도 일부의 제거는 동일한 반응 챔버 내에서 일어날 수 있다. 본 개시의 대안적인 구현예에서, 침윤 공정 및 제1 층의 적어도 일부의 제거는 동일한 클러스터 툴, 즉 동일한 반도체 처리 장치에 위치한 상이한 반응 챔버 내에서 일어날 수 있어서 침윤 공정 및 제1 층의 적어도 일부의 제거는 주위 공기에 노출되지 않고 일어난다. 본 개시의 구현예에 따라, 트리밍 공정, 침윤 공정, 및 제1 층의 적어도 일부의 제거는 동일한 반응 챔버 내에서 일어날 수 있다. 본 개시의 대안적인 구현예에서, 트리밍 공정, 침윤 공정, 및 제1 층의 적어도 일부의 제거는 동일한 클러스터 툴, 즉 동일한 반도체 처리 장치에 위치한 상이한 반응 챔버 내에서 일어날 수 있어서 트리밍 공정, 침윤 공정, 및 제1 층의 적어도 일부의 제거는 주위 공기에 노출되지 않고 일어난다.
방법(100)은 제1 층의 적어도 일부를 제거하는 제3 단계(130) 이후에 추가적인 공정을 또한 포함할 수 있다. 예를 들어, 일부 구현예에서, 방법(100)은 기판 상에 배치된 제1 층의 적어도 일부를 제거한 단계 이후에 기판 상의 증착 공정 또는 에칭 공정 중 적어도 하나를 더 포함할 수 있다. 보다 상세하게, 침윤 공정을 거친 제1 층의 나머지 부분은, 예를 들어 기판을 플라즈마 에칭 공정에 노출시킴으로써 기판의 일부를 에칭하기 위한 마스크 층으로서 활용될 수 있다. 대안적으로, 침윤 공정을 겪은 제1 층의 잔여 부분, 즉 침윤 재료는 후속 증착 공정을 위해 이용될 수 있고, 예를 들어 침윤 재료 위에 스페이서 재료를 증착하기 위해 증착 공정이 이용될 수 있다.
본 개시의 구현예에 따라, 선택적인 트리밍 공정, 침윤 공정, 제1 층의 적어도 일부의 제거, 및 적어도 하나의 증착 공정 또는 에칭 공정은 동일한 반응 챔버 내에서 일어날 수 있다. 본 개시의 대안적인 구현예에서, 선택적인 트리밍 공정, 침윤 공정, 제1 층의 적어도 일부의 제거, 및 적어도 하나의 증착 공정 또는 에칭 공정은 동일한 클러스터 툴에 위치한 상이한 반응 챔버 내에서 일어날 수 있어서, 선택적인 트리밍 공정, 침윤 공정, 제1 층의 적어도 일부의 제거, 및 적어도 하나의 증착 공정 또는 에칭 공정은 동일한 반도체 처리 장치 내에서 즉, 주위 공기에 노출되지 않고 일어난다.
본 개시의 일부 구현예에 따라, 트리밍 공정 및 침윤 공정은 제1 층의 적어도 일부를 제거하기 위한 공정을 옵션으로 하는 동일한 반응 챔버 내에서 일어날 수 있다. 본 개시의 대안적인 구현예에 따라, 트리밍 공정 및 침윤 공정은 제1 층의 적어도 일부를 제거하기 위한 공정을 옵션으로 하는 동일한 클러스터 툴에 위치하는 상이한 반응 챔버 내에서 일어날 수 있다. 따라서, 트리밍 공정 및 침윤 공정 모두 동일한 반도체 처리 장치, 즉 주위 공기에 노출되지 않고 수행될 수 있음을 이해해야 한다.
이제 도 2로 돌아가서, 제1 층의 적어도 일부를 침윤시키고 제거하기 위한 반도체 처리 장치(200)가 도시되어 있다. 장치(200)는 제1 반응 챔버(203), 기판 홀더(204) 및 가스 분배 시스템(206)을 더 포함할 수 있는 반응기(202)를 포함할 수 있다. 장치(200)는 제1 전구체 공급원(207); 제2 전구체 공급원(208); 캐리어 또는 퍼지 가스 공급원(210)을 더 포함할 수 있는 전구체 전달 시스템을 또한 포함할 수 있다. 장치(200)는 선택적인 트리밍 공정 및 기판 상에 배치된 제1 층의 적어도 일부를 제거하도록 구성되는 제1 제거 시스템을 포함할 수 있고, 상기 제1 제거 시스템은 에천트 가스 공급원(216)을 더 포함할 수 있다. 장치(200)는 공급원(207, 208, 210, 216)과 반응기(202) 사이에 개재된 밸브(211, 212, 214 및 218)를 더 포함할 수 있다.
반응 챔버(203)는 독립형 반응 챔버 또는 클러스터 툴의 부분일 수 있다. 또한, 반응 챔버(203)는 본원에서 설명된 침윤 공정에 전용일 수 있거나, 반응 챔버(203)는 다른 공정, 예를 들어 막 증착, 트리밍 공정, 제1 층의 일부 제거 및 하나 이상의 추가층 증착 및/또는 에칭 처리에 사용될 수 있다. 예를 들어, 반응 챔버(203)는 화학 기상 증착(CVD) 및/또는 원자층 증착(ALD) 공정 처리를 위해 통상적으로 사용되는 반응 챔버를 포함할 수 있고 직접식 플라즈마 및/또는 원격식 플라즈마 장치를 포함할 수도 있다. 추가의 반응 챔버(203)는 진공 또는 준 대기압 하에서 작동할 수 있다. 일례로서, 반응 챔버(203)는 적어도 하나의 기판 상으로 제1 전구체 및 제2 전구체를 순차적으로 펄스화함으로써 막의 ALD 증착에 적합한 반응 챔버를 포함할 수 있고, 상기 막은 적어도 제1 전구체와 제2 전구체를 제1 층에 침윤시키도록 구성된다. 반도체 처리 장치(200)에 적합한 예시적인 ALD 반응 챔버가 미국 특허 번호 8,152,922에 기술되어 있으며, 내용이 본 개시와 충돌하지 않는 한 본원에 참고로 내용이 원용된다.
기판 홀더(204)는 기판 위에 배치된 제1층을 갖는 기판(216)과 같이 적어도 하나의 기판을 수용하도록 구성될 수 있어서, 공정 처리 중 제자리에 있을 수 있다. 다양하고 예시적인 구현예에 따라, 기판 홀더(204)는 직접식 플라즈마 회로의 부분을 형성할 수 있다. 추가적이거나 대안적으로, 기판 홀더(204)는 공정 처리 중에 (예를 들어, 가열 요소(205)에 의해) 가열되거나, 냉각되거나, 주변 공정 온도에 있을 수 있다. 일부 구현예에서 가열 요소(205)는 상기 적어도 하나의 기판(216)에 대해 어닐링 단계를 수행하도록 구성될 수 있다. 추가 구현예에서, 가열 요소(205)는 제1 층의 일부를 제거하도록 구성될 수 있다.
가스 분배 시스템(206)이 블록 형태로 도시되어 있지만, 가스 분배 시스템(206)은 상대적으로 복잡할 수 있고, 가스 혼합물을 반응 챔버(203)의 나머지 부분에 분배하기 이전에 제1 전구체 공급원(207), 제2 전구체 공급원(208)으로부터의 증기(가스), 가스 공급원(210)으로부터의 퍼지 가스, 및 에천트 가스 공급원(216)을 혼합하도록 구성될 수 있다. 또한, 가스 분배 시스템(206)은 반도체 표면으로 수직(도시된 바와 같음) 또는 수평 가스 흐름을 제공하도록 구성될 수 있다. 예시적인 가스 분배 시스템은 미국 특허 제8,152,922호에 기술되어 있다.
제1 전구체 공급원(207)은 막 증착 공정에 적합한 금속 함유 물질의 액체, 고체 또는 가스 공급원일 수 있다. 제1 전구체 공급원(207)이 액체 또는 고체인 경우, 공급원 물질은 반응 챔버(203)에 들어가기 전에 기화될 수 있다. 본 개시의 일부 구현예에서, 제1 가스 전구체는 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 디메틸알루미늄하이드라이드(DMAH), 티타늄 테트라클로라이드(TiCl4), 탄탈륨 펜타클로라이드(TaCl5) 또는 니오븀 펜타클로라이드(NbCl5) 중 적어도 하나를 포함할 수 있다.
제2 전구체 공급원(208)은 막 증착 공정에 적합한 액체, 고체 또는 가스 공급원일 수 있다. 제2 전구체 공급원(208)이 액체 또는 고체인 경우, 공급원 물질은 반응 챔버(203)에 들어가기 전에 기화될 수 있다. 본 개시의 일부 구현예에서, 제2 전구체 공급원은 수증기, 오존, 과산화수소, 암모니아 및 히드라진 중 적어도 하나를 포함할 수 있다.
제1 전구체 공급원 및 제2 전구체 공급원은, 적어도 제1 전구체 공급원 및 제2 전구체 공급원이 기판 상에 배치된 제1 층 내로 침윤할 수 있도록 구성되는 막을 증착하기 위해 함께 이용될 수 있다. 예를 들어 일부 구현예에서, 장치(200)는 알루미늄 산화물(Al2O3), 실리콘 이산화물(SiO2), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘(Si), 실리콘 탄질화물(SiCN), 알루미늄 질화물(AlN), 티타늄 질화물(TiN), 티타늄 탄화물(TiC), 탄탈륨 질화물(TaN), 텅스텐(W), 코발트(Co), 티타늄 이산화물(TiO2), 탄탈륨 산화물(Ta2O5), 지르코늄 이산화물(ZrO2), 또는 하프늄 이산화물(HfO2) 중 적어도 하나를 포함하는 구조체를 침윤하도록 구성될 수 있다.
캐리어 또는 퍼지 가스 공급원(210)은 제1 전구체 공급원(207) 및/또는 제2 전구체 공급원(208)으로 혼합하기에 적합한 임의의 적합한 가스를 포함할 수 있다. 캐리어 또는 퍼지 가스 공급원(210)은 침윤 공정 및 제1 층의 적어도 일부를 제거하기 이전, 이후 또는 동안에 반응 챔버(203)를 퍼지하는 데 적합한 임의의 적합한 가스를 포함할 수 있다. 본 개시의 예시적인 구현예에 따라 퍼지 가스는 질소, 아르곤, 헬륨, 또는 이들의 조합일 수 있다. 캐리어 가스는 질소, 아르곤, 헬륨, 또는 이들의 조합을 또한 포함할 수 있다.
반도체 처리 장치(200)는, 트리밍 공정을 가능하게 하고 기판 상에 배치된 제1 층의 적어도 일부를 제거할 수 있는 고체, 액체 또는 기상 화학물질을 포함하는 에천트 가스 공급원(216)을 더 포함할 수 있는 제1 제거 시스템을 또한 포함할 수 있다. 예를 들어, 에천트 가스 공급원(216)은 반응 챔버(203)에 진입할 때에 기상인 화학물질을 포함하여 기판 상에 배치된 제1 층의 적어도 일부를 제거할 수 있다. 비제한적인 예시적 구현예로서, 에천트 공급원(216)은 산소(O2), 오존(O3), 질소 (N2) 및 수소(H2)를 포함할 수 있다. 일부 구현예에서, 반응 챔버(203) 및 제1 제거 시스템은, 예를 들어 산소 및 질소의 여기 종을 형성하기 위해 제1 제거 시스템으로부터 공급된 에천트 가스로부터 플라즈마 활성화된 종을 생성시키도록 구성된 플라즈마 발생기를 포함한다.
도 2에 도시된 바와 같이, 공급원(207, 208, 210, 및 216)은 밸브(211, 212, 214, 및 218)를 통해 반응 챔버(203)와 유체 연통하며, 이는 공급원 라인(219, 220, 222 및 224)을 사용하여 반응 챔버(203)로 각각의 공급원 물질의 흐름, 혼합 및 분배를 제어하는 데 사용될 수 있다.
추가적인 구현예에서, 장치(200)는 제1 층의 일부를 제거한 단계 이후에 기판 상에 물질막의 후속 증착을 위해 이용될 수 있는 추가 전구체 공급원 하나 이상을 포함할 수 있다. 또 추가적인 구현예에서, 장치(200)는 제1 층의 일부를 제거한 단계 이후에 기판의 후속 에칭을 위해 이용될 수 있는 추가 에천트 가스 공급원을 하나 이상 포함할 수 있다. 따라서, 일부 구현예에서, 장치(200)는 막을 증착하도록 구성될 수 있고, 상기 막은 적어도 제1 전구체 및 제2 전구체를 기판 상에 배치된 제1 층에 침윤시키고 제1 층의 적어도 일부를 기판 상에 제거시킬 수 있도록 구성되며, 상기 침윤과 제1 층의 적어도 일부의 제거는 동일한 반도체 처리 장치, 즉 주위 공기에 기판을 노출하지 않고서 일어난다.
본 개시의 추가적인 구현예에서, 선택적인 트리밍 공정, 침윤 공정 및 제1 층의 적어도 일부를 제거하는 단계가 수행되기 위한 반도체 처리 장치(300)가 도 3을 참조하여 도시된다. 장치(300)는 장치(200)의 것과 유사할 수 있지만, 제1 반응 챔버(203a) 및 제2 반응 챔버(203b)를 더 포함할 수 있는 반응기(302)를 포함할 수 있다. 일부 구현예에서, 반응기(302)는 클러스터 툴을 포함하고 비록 도 3은 2개의 반응 챔버를 포함하는 반응기(302)를 도시하지만, 일부 구현예에서는 반응기(302)가 복수의 반응 챔버를 포함할 수 있으며, 각 반응 챔버는 이전에 본원에 기술된 바와 같이 기판 홀더(204), 및 가스 분배 시스템(206)을 포함함을 이해해야 한다. 장치(300)는 제1 전구체 공급원(207); 제2 전구체 공급원(208); 캐리어 또는 퍼지 가스 공급원(210)을 또한 포함할 수 있다. 장치(300)는 에천트 가스 공급원(216)을 더 포함하는 제1 제거 시스템을 또한 포함할 수 있다. 장치(300)는 공급원(207, 208, 210, 216)과 반응기(302) 사이에 개재된 밸브(211, 212, 214 및 218)를 또한 포함할 수 있다.
시스템(300)은 기판, 예를 들어 반도체를 제1 반응 챔버(203a)와 제2 반응 챔버(203b) 사이에서 이송하는데 활용되는 이송 시스템(304)을 또한 포함할 수 있다. 이송 시스템(304)은 기판을 주변 공기에 노출시키지 않고 제1 반응 챔버(203a)에서 제2 반응 챔버(203b)로 기판의 이송이 발생할 수 있도록 제어된 환경을 포함할 수 있다.
일부 구현예에서, 반응 챔버(203a)는 전체 반도체 공정에서 단일 공정에 전용일 수 있다. 예를 들어, 반응 챔버(203a)는 제1 전구체 및 제2 전구체를 기판 상으로 순차적으로 펄스화함으로써 침윤 공정을 수행하는 데 전용일 수 있는 반면에, 제2 반응 챔버(203b)는 기판 상에 배치된 제1 층의 적어도 일부분을 제거하는 단계 및/또는 선택적인 트리밍 공정에 전용일 수 있다. 일부 구현예에서, 반응 챔버(203a 및 203b) 내의 전용 단일 공정이 뒤바뀔 수 있는 점을 이해해야 한다. 전체 반도체 공정에서 하나 이상의 공정에 대한 단일 반응 챔버의 전용은 전체 반도체 공정을 포함하는 각 공정에서의 독립 공정 파라미터를, 즉 제1 반응 챔버(203a) 및 제2 반응 챔버(203b)에 대한 독립적인 공정 파라미터를 허용할 수 있다. 예를 들어, 제1 반응 챔버(203a)는 제1 온도 및 제1 압력에서 제어될 수 있는 반면에, 제2 반응 챔버(203b)는 제2 온도 및 제2 압력에서 제어될 수 있으며, 상기 제1 온도 및 상기 제2 온도는 서로 동일하거나 상이할 수 있고, 상기 제1 압력 및 상기 제2 압력은 서로 동일하거나 상이할 수 있다.
일부 구현예에서, 반응 챔버(203a 및 203b)는 본원에서 설명된 침윤 공정에 전용일 수 있거나, 반응 챔버(203a 및 203b)는 다른 공정에, 예를 들어 층 증착 및/또는 에칭 공정에 사용될 수 있다. 예를 들어, 반응 챔버(203a 및 203b)는 본원에 기술된 바와 같이 화학 기상 증착(CVD), 및/또는 원자층 증착 공정에 통상적으로 사용되는 반응 챔버를 포함할 수 있다. 추가적인 구현예에서, 장치(300)는 트리밍, 증착, 및 에칭 공정과 같은 추가적인 전용 공정을 수행하기 위한 추가의 반응 챔버를 포함할 수 있다.
도 3에 도시된 바와 같이, 공급원(207, 208, 210, 및 216)은 밸브(211, 212, 214, 및 218)를 통해 반응기(302)와 유체 연통하며, 이는 공급 라인(219, 220, 222 및 224)을 사용하여 반응 챔버(203a 및 203b)로 각각의 원료 물질의 흐름, 혼합 및 분배를 제어하는 데 사용될 수 있다.
어닐링, 침윤 공정 및 제1 층의 적어도 일부 제거의 결합 사용에 대한 잠재적 응용은 극자외선(EUV) 포토레지스트에 대한 것일 수 있다. EUV 응용을 위한 어닐링은 고분자의 자기-조립을 위한 것이 아닐 수 있지만, 경화 또는 안정화 목적의 역할을 할 수 있다. 예를 들어 본 발명의 적어도 하나의 구현에 따라, 어닐링 및 침윤의 결합 공정은, 잠재적으로 카르복실기의 전환을 방지하거나, 고분자막으로부터 수분을 디가싱시킴으로써, 또는 포토레지스트를 안정화 또는 경화시킴으로써, 순차적 침윤 합성(SIS) 단계를 보조할 수 있다.
도시되고 설명된 구체적인 적용예는 본 발명의 예시이자 최적 실시모드이며, 어떤 방식으로도 양태와 적용예의 범주를 달리 제한하도록 의도되지 않는다. 실제로, 시스템의 종래의 제조, 연결, 조제 및 다른 기능적 양태는 간결성을 위해 상세히 기술되지 않을 수 있다. 또한, 다양한 도면들에서 도시된 연결선들은 다양한 요소들 사이의 예시적인 기능 관계 및/또는 물리적 결합을 표시하려는 의도이다. 많은 대안 또는 추가적인 기능적 관계 또는 물리적 연결은 실질적인 시스템에 존재할 수 있고/있거나 일부 구현예들에서는 없을 수 있다.
본원에 기술된 구성 및/또는 접근법은 본질적으로 예시적인 것이며, 다양한 변형이 가능하기 때문에, 이들 특정 구현예 또는 실시예가 제한적인 의미로 고려되어서는 안 된다는 것을 이해해야 한다. 본원에 설명된 특정 루틴 또는 방법은 임의의 수의 처리 전략 중 하나 이상을 나타낼 수 있다. 따라서, 도시된 다양한 동작은 도시된 시퀀스에서, 상이한 시퀀스에서 수행되거나, 경우에 따라 생략될 수 있다.
본 개시의 요지는 본원에 개시된 다양한 공정, 시스템, 및 구성, 다른 특징, 기능, 행위 및/또는 성질의 모든 신규하고 비자명한 조합 및 하위조합뿐만 아니라 임의의 그리고 모든 이들의 등가물들을 포함한다.

Claims (31)

  1. 구조체를 형성하기 위해 구성되는 반도체 처리 장치로서,
    제1층을 갖는 기판을 적어도 하나 유지하기 위해 구성되는 제1 반응 챔버;
    상기 제1 층으로 제1 전구체 및 제2 전구체를 순차적으로 펄스화함으로써 트리밍 공정 및 침윤을 수행하도록 구성되어 상기 제1 층 내로 적어도 상기 제1 전구체 및 상기 제2 전구체를 침윤시키고, 반응시킴으로써 침윤 재료를 형성하는 전구체 전달 시스템; 및
    상기 기판 상에 배치된 상기 제1 층의 적어도 일부를 제거하면서 상기 침윤 재료를 남기도록 구성되는 제1 제거 시스템을 포함하되,
    상기 침윤과 상기 제1 층의 적어도 일부의 제거는 동일한 반도체 처리 장치 내에서 일어나는 장치.
  2. 제1항에 있어서, 상기 제1 제거 시스템으로부터 공급되는 에천트 가스로부터 플라즈마 여기 종을 생성하도록 구성되는 플라즈마 발생기를 추가로 포함하는 장치.
  3. 제1항에 있어서, 상기 제1 제거 시스템은 가열 요소를 추가로 포함하고, 분해에 의해 상기 제1 층의 일부분이 제거될 수 있도록 열 공정이 사용되는 장치.
  4. 제1항에 있어서, 상기 제1 반응 챔버는 상기 제1 층의 적어도 일부를 제거하기 위해 구성되는 장치.
  5. 제4항에 있어서, 상기 제1 반응 챔버는 200℃ 내지 300℃ 사이의 온도에서 어닐링 단계를 수행하기 위해 구성되는 장치.
  6. 제1항에 있어서, 상기 제1 반응 챔버는 다수의 기판을 처리하기 위해 구성되는 장치.
  7. 제1항에 있어서, 상기 전구체 전달 시스템은 상기 침윤 재료 상에 제1 전구체 및 제2 전구체를 순차적으로 펄스화함으로써 막 증착을 수행하도록 추가로 구성되는 장치.
  8. 제1항에 있어서, 상기 장치는 상기 기판의 적어도 일부를 제거하도록 에칭 공정을 수행하기 위해 추가로 구성되는 장치.
  9. 제8항에 있어서, 에천트 가스 공급원으로부터 공급되는 에천트 가스로부터 플라즈마 여기 에천트 종을 생성하기 위해 구성되는 플라즈마 발생기를 추가로 포함하는 장치.
  10. 제1항에 있어서, 상기 구조체는 알루미늄 산화물(Al2O3), 실리콘 이산화물(SiO2), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 탄질화물(SiCN), 실리콘(Si), 알루미늄 질화물(AlN), 티타늄 질화물(TiN), 티타늄 질화물(TiC), 탄탈륨 질화물(TaN), 텅스텐(W), 코발트(Co), 티타늄 이산화물(TiO2), 탄탈륨 산화물(Ta2O5), 지르코늄 이산화물(ZrO2), 또는 하프늄 이산화물(HfO2) 중 적어도 하나를 포함하는 장치.
  11. 제1항에 있어서, 상기 제1 반응 챔버는 상기 침윤을 수행하고, 제2 반응 챔버는 상기 제1 층의 적어도 일부분의 제거를 수행하는 장치.
  12. 제11항에 있어서, 상기 적어도 하나의 기판은 다수의 기판 홀더 내의 적어도 제2 기판과 함께 상기 제1 반응 챔버에서 상기 제2 반응 챔버로 이송되는 장치.
  13. 제1항에 있어서, 상기 제1 반응 챔버는 배치식 반응기를 포함하는 장치.
  14. 제1항에 있어서, 상기 제1 반응 챔버는 단일 웨이퍼 반응기를 포함하는 장치.
  15. 제5항에 있어서, 상기 어닐링은 질소, 아르곤, 헬륨, 수소, 산소, 오존, 수증기, 용매 증기 또는 이들 가스의 혼합을 포함하는 환경에서 행해지는 장치.
  16. 구조체를 형성하기 위해 구성되는 반도체 처리 장치로서,
    제1 기판 홀더를 구비하고, 상기 제1 기판 홀더 상에 위치하는 기판 상의 유전 물질을 포함하는 제1 층의 침윤을 수행하여 침윤 재료를 상기 제1 층 내로 침윤시키기 위해 구성되고 배열되는 제1 반응 챔버;
    제2 기판 홀더를 구비하고, 상기 제2 기판 홀더 상에 위치하는 상기 기판 상의 상기 제1 층의 적어도 일부를 제거하면서 상기 기판 상에 상기 침윤 재료를 남기기 위해 구성되고 배열되는 제2 반응 챔버;
    상기 기판을 상기 제1 기판 홀더에 제공하고, 상기 기판을 상기 제1 기판 홀더에서 상기 제2 기판 홀더로 이송하고, 상기 기판을 상기 제2 기판 홀더에서 제거하기 위해 구성되고 배열되는 기판 핸들러; 및
    상기 제1 기판 홀더에서 상기 제2 기판 홀더로 이송하는 중에 상기 기판을 상기 장치 외부의 환경으로부터 보호하기 위해, 상기 기판 핸들러 및 상기 제1 반응 챔버 및 상기 제2 반응 챔버를 덮는 하우징을 포함하는 장치.
  17. 제1항에 따른 반도체 처리 장치 내에 구조체를 형성하는 방법으로서,
    기판 위에 배치된 제1 층을 갖는 상기 기판을 상기 제1 반응 챔버 내의 공정을 위해 제공하는 단계;
    상기 기판 상에 상기 제1 전구체와 상기 제2 전구체를 순차적으로 펄스화함으로써 제1 층 침윤을 수행하는 단계로, 상기 제1 층 침윤은 적어도 상기 제1 전구체와 상기 제2 전구체를 상기 제1층 내에 침윤시키기 위해 구성되며, 과잉의 상기 제1 전구체 및 상기 제2 전구체를 상기 제1 반응 챔버에서 퍼지하고,
    침윤 재료는 상기 제1 전구체 및 상기 제2 전구체의 반응으로부터 상기 제1 층에 형성되는 단계; 및
    상기 침윤을 수행한 단계 이후에 상기 기판 상에 배치되는 상기 제1 층의 적어도 일부를 제거하면서 상기 침윤 재료를 남기는 단계를 포함하되,
    상기 침윤과 상기 제1 층의 적어도 일부의 제거는 동일한 반응 챔버 내에서 일어나는 방법.
  18. 제17항에 있어서, 상기 제1 층 침윤을 수행하는 단계 이전에, 상기 기판 상에 어닐링 단계를 수행하는 단계를 추가로 포함하는 방법.
  19. 제17항에 있어서, 상기 기판 상에 배치되는 상기 제1 층의 적어도 일부를 제거한 단계 이후에 상기 기판 상에 증착 공정 또는 에칭 공정 중 적어도 하나를 수행하는 단계를 추가로 포함하는 방법.
  20. 제17항에 있어서, 상기 제1 층의 적어도 일부를 제거하는 단계는 상기 제1 층을 산소 함유 반응물에 노출시키는 단계를 추가로 포함하는 방법.
  21. 제17항에 있어서, 상기 구조체는 알루미늄 산화물(Al2O3), 실리콘 이산화물(SiO2), 실리콘 질화물(SiN), 실리콘(Si), 실리콘 산질화물(SiON), 실리콘 탄질화물(SiCN), 알루미늄 질화물(AlN), 티타늄 질화물(TiN), 티타늄 탄화물(TiC), 탄탈륨 질화물(TaN), 텅스텐(W), 코발트(Co), 티타늄 이산화물(TiO2), 탄탈륨 산화물(Ta2O5), 지르코늄 이산화물(ZrO2), 또는 하프늄 이산화물(HfO2) 중 적어도 하나를 포함하는 방법.
  22. 제18항에 있어서, 상기 어닐링 단계 동안에 상기 반응 챔버의 온도는 100℃ 내지 400℃의 범위인 방법.
  23. 제17항에 있어서, 상기 침윤 동안에 상기 반응 챔버의 온도는 25℃ 내지 400℃의 범위인 방법.
  24. 제17항에 있어서, 상기 제1 층은,
    스핀-온-글라스, 스핀-온-카본층, 실리콘 질화물층, 반사-방지-코팅층, 또는 비정질 탄소층 중 적어도 하나를 포함하는 방법.
  25. 제17항에 있어서, 상기 제1 층은,
    폴리(메틸 메타크릴레이트)(PMMA), 폴리스티렌, 폴리(스티렌-블록-메틸 메타크릴레이트)(PS-b-PMMA), 심자외선 포토레지스트, 193 포토레지스트, 193i 포토레지스트, 또는 극자외선 포토레지스트 중 적어도 하나를 포함하는 방법.
  26. 제17항에 있어서, 상기 침윤의 수행은 원하는 두께의 상기 구조체를 형성하기 위해 반복되는 방법.
  27. 제17항에 있어서 상기 침윤은,
    상기 제1 전구체를 상기 기판 상에 펄스화하는 단계;
    상기 제1 전구체를 상기 반응 챔버로부터 퍼지하는 단계;
    상기 제2 전구체를 상기 기판 상에 펄스화하는 단계; 및
    상기 제2 전구체를 상기 반응 챔버로부터 퍼지하는 단계를 포함하는 방법.
  28. 제18항에 있어서, 상기 어닐링 단계 및 상기 침윤은 단일 반응 챔버 내에서 일어나는 방법.
  29. 제18항에 있어서, 상기 어닐링 단계 및 상기 침윤은 상기 반도체 처리 장치 상에 위치하는 상이한 반응 챔버 내에서 일어나는 방법.
  30. 제18항에 있어서, 상기 제1 층 침윤을 수행하는 단계 이전에 트리밍 공정을 수행하는 단계를 추가로 포함하는 방법.
  31. 제16항에 따른 반도체 처리 장치 내에 구조체를 형성하는 방법으로서,
    기판 위에 배치된 제1 층을 갖는 상기 기판을 상기 제1 반응 챔버 내의 공정을 위해 제공하는 단계;
    상기 제1 층을 무기 재료로 침윤시키는 단계;
    무기 재료를 포함하는 상기 제1 층을 주변 공기에 노출시키지 않고, 상기 기판을 상기 제1 반응 챔버에서 상기 제2 반응 챔버로 이송하는 단계; 및
    상기 반도체 처리 장치의 상기 제2 반응 챔버 내에서 상기 제1 층의 적어도 일부를 제거하면서 상기 기판 상에 상기 무기 재료를 남기는 단계를 포함하는 방법.
KR1020197015957A 2016-12-15 2017-12-08 반도체 처리 장치 Active KR102403102B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662434955P 2016-12-15 2016-12-15
US62/434,955 2016-12-15
PCT/IB2017/001644 WO2018109552A1 (en) 2016-12-15 2017-12-08 Semiconductor processing apparatus

Publications (2)

Publication Number Publication Date
KR20190095274A KR20190095274A (ko) 2019-08-14
KR102403102B1 true KR102403102B1 (ko) 2022-05-26

Family

ID=61526831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197015957A Active KR102403102B1 (ko) 2016-12-15 2017-12-08 반도체 처리 장치

Country Status (6)

Country Link
US (1) US20200013629A1 (ko)
JP (2) JP2020502790A (ko)
KR (1) KR102403102B1 (ko)
CN (1) CN110050328A (ko)
TW (1) TWI746728B (ko)
WO (1) WO2018109552A1 (ko)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102762543B1 (ko) 2016-12-14 2025-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
TWI815813B (zh) 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 用於分配反應腔內氣體的噴頭總成
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI852426B (zh) 2018-01-19 2024-08-11 荷蘭商Asm Ip私人控股有限公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497B (zh) 2018-02-14 2025-06-17 Asmip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102600229B1 (ko) 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12272527B2 (en) 2018-05-09 2025-04-08 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN120591748A (zh) 2018-06-27 2025-09-05 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US12378665B2 (en) 2018-10-26 2025-08-05 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102748291B1 (ko) 2018-11-02 2024-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TWI874340B (zh) 2018-12-14 2025-03-01 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko) 2019-01-22 2024-11-07 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7603377B2 (ja) 2019-02-20 2024-12-20 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR102858005B1 (ko) 2019-03-08 2025-09-09 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR102782593B1 (ko) 2019-03-08 2025-03-14 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR102809999B1 (ko) 2019-04-01 2025-05-19 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR102869364B1 (ko) 2019-05-07 2025-10-10 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7612342B2 (ja) 2019-05-16 2025-01-14 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7598201B2 (ja) 2019-05-16 2024-12-11 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200141931A (ko) 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112242318A (zh) 2019-07-16 2021-01-19 Asm Ip私人控股有限公司 基板处理装置
KR102860110B1 (ko) 2019-07-17 2025-09-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112242295B (zh) 2019-07-19 2025-12-09 Asmip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900B (zh) 2019-07-30 2025-11-04 Asmip私人控股有限公司 基板处理设备
CN112309899B (zh) 2019-07-30 2025-11-14 Asmip私人控股有限公司 基板处理设备
KR20210015655A (ko) 2019-07-30 2021-02-10 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN112342526A (zh) 2019-08-09 2021-02-09 Asm Ip私人控股有限公司 包括冷却装置的加热器组件及其使用方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR102806450B1 (ko) 2019-09-04 2025-05-12 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko) 2019-09-05 2024-11-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US12469693B2 (en) 2019-09-17 2025-11-11 Asm Ip Holding B.V. Method of forming a carbon-containing layer and structure including the layer
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202128273A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip私人控股有限公司 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR102845724B1 (ko) 2019-10-21 2025-08-13 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102890638B1 (ko) 2019-11-05 2025-11-25 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7339134B2 (ja) * 2019-11-19 2023-09-05 株式会社Screenホールディングス パターン形成方法およびその方法を含んだ半導体の製造方法
KR102861314B1 (ko) 2019-11-20 2025-09-17 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697B (zh) 2019-11-26 2025-07-29 Asmip私人控股有限公司 基板处理设备
CN120998766A (zh) 2019-11-29 2025-11-21 Asm Ip私人控股有限公司 基板处理设备
CN112885693B (zh) 2019-11-29 2025-06-10 Asmip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7703317B2 (ja) 2019-12-17 2025-07-07 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102882467B1 (ko) 2020-01-16 2025-11-05 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TWI889744B (zh) 2020-01-29 2025-07-11 荷蘭商Asm Ip私人控股有限公司 污染物捕集系統、及擋板堆疊
TWI871421B (zh) 2020-02-03 2025-02-01 荷蘭商Asm Ip私人控股有限公司 包括釩或銦層的裝置、結構及其形成方法、系統
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146691A (zh) 2020-02-13 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
KR20210103956A (ko) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TWI895326B (zh) 2020-02-28 2025-09-01 荷蘭商Asm Ip私人控股有限公司 專用於零件清潔的系統
KR20210113043A (ko) 2020-03-04 2021-09-15 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 정렬 고정구
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US12173404B2 (en) 2020-03-17 2024-12-24 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (ko) 2020-04-02 2025-01-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TWI887376B (zh) 2020-04-03 2025-06-21 荷蘭商Asm Ip私人控股有限公司 半導體裝置的製造方法
TWI888525B (zh) 2020-04-08 2025-07-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202143328A (zh) 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR102866804B1 (ko) 2020-04-24 2025-09-30 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202208671A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 形成包括硼化釩及磷化釩層的結構之方法
KR20210132612A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 화합물들을 안정화하기 위한 방법들 및 장치
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR102783898B1 (ko) 2020-04-29 2025-03-18 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP7726664B2 (ja) 2020-05-04 2025-08-20 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
JP7736446B2 (ja) 2020-05-07 2025-09-09 エーエスエム・アイピー・ホールディング・ベー・フェー 同調回路を備える反応器システム
KR102788543B1 (ko) 2020-05-13 2025-03-27 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR102795476B1 (ko) 2020-05-21 2025-04-11 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145079A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 플랜지 및 장치
TWI873343B (zh) 2020-05-22 2025-02-21 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202212650A (zh) 2020-05-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 沉積含硼及鎵的矽鍺層之方法
TWI876048B (zh) 2020-05-29 2025-03-11 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
KR20210156219A (ko) 2020-06-16 2021-12-24 에이에스엠 아이피 홀딩 비.브이. 붕소를 함유한 실리콘 게르마늄 층을 증착하는 방법
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
TWI873359B (zh) 2020-06-30 2025-02-21 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI896694B (zh) 2020-07-01 2025-09-11 荷蘭商Asm Ip私人控股有限公司 沉積方法、半導體結構、及沉積系統
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI864307B (zh) 2020-07-17 2024-12-01 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構、方法與系統
KR20220011092A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
TWI878570B (zh) 2020-07-20 2025-04-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12322591B2 (en) 2020-07-27 2025-06-03 Asm Ip Holding B.V. Thin film deposition process
KR20220021863A (ko) 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh) 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
KR102855073B1 (ko) 2020-08-26 2025-09-03 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
KR20220033997A (ko) 2020-09-10 2022-03-17 에이에스엠 아이피 홀딩 비.브이. 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20220036866A (ko) 2020-09-16 2022-03-23 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물 증착 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TWI889903B (zh) 2020-09-25 2025-07-11 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR102873665B1 (ko) 2020-10-15 2025-10-17 에이에스엠 아이피 홀딩 비.브이. 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh) 2020-11-12 2022-08-01 特文特大學 沉積系統、用於控制反應條件之方法、沉積方法
TW202229795A (zh) 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US12255053B2 (en) 2020-12-10 2025-03-18 Asm Ip Holding B.V. Methods and systems for depositing a layer
TW202233884A (zh) 2020-12-14 2022-09-01 荷蘭商Asm Ip私人控股有限公司 形成臨限電壓控制用之結構的方法
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202232639A (zh) 2020-12-18 2022-08-16 荷蘭商Asm Ip私人控股有限公司 具有可旋轉台的晶圓處理設備
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
WO2022196259A1 (ja) * 2021-03-15 2022-09-22 東京エレクトロン株式会社 基板処理方法及び基板処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11915931B2 (en) 2021-08-19 2024-02-27 Tokyo Electron Limited Extreme ultraviolet lithography patterning method
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117916672A (zh) * 2021-09-15 2024-04-19 东京毅力科创株式会社 Euv抗蚀剂的混合显影
USD1099184S1 (en) 2021-11-29 2025-10-21 Asm Ip Holding B.V. Weighted lift pin
USD1060598S1 (en) 2021-12-03 2025-02-04 Asm Ip Holding B.V. Split showerhead cover
US20240272552A1 (en) * 2023-02-10 2024-08-15 Applied Materials, Inc. Preferential infiltration in lithographic process flow for euv car resist

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010219105A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
US20140263172A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031118A (ja) 1998-07-08 2000-01-28 Toshiba Corp パターン形成方法
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
KR101275025B1 (ko) 2007-07-12 2013-06-14 삼성전자주식회사 반도체 소자용 배선 구조물 및 이의 형성방법
JP5578782B2 (ja) 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR101097025B1 (ko) * 2008-03-31 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체
US20110104901A1 (en) * 2008-06-13 2011-05-05 Tokyo Electron Limited Semiconductor device manufacturing method
KR101829380B1 (ko) 2009-10-26 2018-02-19 에이에스엠 인터내셔널 엔.브이. 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도
US9684234B2 (en) * 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9548188B2 (en) * 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10049892B2 (en) * 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
US9646883B2 (en) * 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US20170117144A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Chemical Infiltration into Porous Dielectric Films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010219105A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
US20140263172A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing

Also Published As

Publication number Publication date
JP2023015253A (ja) 2023-01-31
JP2020502790A (ja) 2020-01-23
JP7751558B2 (ja) 2025-10-08
TWI746728B (zh) 2021-11-21
CN110050328A (zh) 2019-07-23
WO2018109552A1 (en) 2018-06-21
TW201837979A (zh) 2018-10-16
US20200013629A1 (en) 2020-01-09
KR20190095274A (ko) 2019-08-14

Similar Documents

Publication Publication Date Title
KR102403102B1 (ko) 반도체 처리 장치
US10741394B2 (en) Combined anneal and selective deposition process
TWI751151B (zh) 複合退火以及選擇性沈積製程
US12469693B2 (en) Method of forming a carbon-containing layer and structure including the layer
CN110050329B (zh) 在衬底上形成结构的方法
US20130115778A1 (en) Dry Etch Processes
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
KR20160063271A (ko) 주기적인 알루미늄 산질화물 퇴적
JPWO2020016915A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR102476308B1 (ko) 공극들을 형성하기 위한 시스템들 및 방법들
JP7668292B2 (ja) ハードマスクの選択性改善のための不活性ガス注入
JP2005029821A (ja) 成膜方法
KR20060118405A (ko) 가변적 광학적 특성 및 에칭 특성을 갖는 물질을 증착하는방법 및 장치
KR101998844B1 (ko) 식각 정지층을 사용한 반도체 소자 제조
TW201903834A (zh) 自對準觸點與閘極處理流程

Legal Events

Date Code Title Description
PA0105 International application

Patent event date: 20190603

Patent event code: PA01051R01D

Comment text: International Patent Application

PG1501 Laying open of application
PA0201 Request for examination

Patent event code: PA02012R01D

Patent event date: 20201125

Comment text: Request for Examination of Application

E902 Notification of reason for refusal
PE0902 Notice of grounds for rejection

Comment text: Notification of reason for refusal

Patent event date: 20211228

Patent event code: PE09021S01D

E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20220304

GRNT Written decision to grant
PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20220524

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20220524

End annual number: 3

Start annual number: 1

PG1601 Publication of registration